; -------------------------------------------------------------------------------- ; @Title: IMX93 On-Chip Peripherals ; @Props: Released ; @Author: NEJ, KRZ ; @Changelog: 2023-03-14 KRZ ; @Manufacturer: NXP - NXP Semiconductors ; @Doc: SVD generated (SVD2PER 1.8.4), based on: MIMX9352_ca55.svd (Ver. 1.0), ; MIMX9352_cm33.svd (Ver. 1.0) ; @Core: Cortex-A55, Cortex-M33F ; @Chip: IMX9311-CA55, IMX9311-CM33, IMX9312-CA55, IMX9312-CM33, ; IMX9321-CA55, IMX9321-CM33, IMX9322-CA55, IMX9322-CM33, ; IMX9331-CA55, IMX9331-CM33, IMX9332-CA55, IMX9332-CM33, ; IMX9351-CA55, IMX9351-CM33, IMX9352-CA55, IMX9352-CM33 ; @Copyright: (C) 1989-2022 Lauterbach GmbH, licensed for use with TRACE32(R) only ; -------------------------------------------------------------------------------- ; $Id: perimx93.per 17486 2024-02-13 16:49:15Z jhuang $ sif (CORENAME()=="CORTEXA55") tree "Core Registers (Cortex-A55)" AUTOINDENT.PUSH AUTOINDENT.ON center tree tree.open "AArch64" tree "ID Registers" rgroup.quad spr:0x30000++0x00 line.quad 0x00 "MIDR_EL1,Main ID Register" hexmask.quad.byte 0x0 24.--31. 1. "IMPL,Implementer code" bitfld.quad 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme" newline hexmask.quad.word 0x00 4.--15. 1. "PART,Primary Part Number" bitfld.quad 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30040++0x00 line.quad 0x00 "ID_AA64PFR0_EL1,Processor Feature Register 0" bitfld.quad 0x00 60.--63. "CSV3,Speculative use of faulting data" "Cannot be used,?..." bitfld.quad 0x00 56.--59. "CSV2,Speculative use of out of context branch targets" "Cannot effect,?..." newline bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..." bitfld.quad 0x00 24.--27. "GIC,GIC CPU interface" "Disabled,Enabled,?..." newline bitfld.quad 0x00 20.--23. "ASIMD,Advanced SIMD" "Reserved,Implemented,?..." bitfld.quad 0x00 16.--19. "FP,Floating-point" "Reserved,Implemented,?..." newline bitfld.quad 0x00 12.--15. "EL3_ELH,EL3 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." bitfld.quad 0x00 8.--11. "EL2_ELH,EL2 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." newline bitfld.quad 0x00 4.--7. "EL1_ELH,EL1 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." bitfld.quad 0x00 0.--3. "EL0_ELH,EL0 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30040++0x00 line.quad 0x00 "ID_AA64PFR0_EL1,Processor Feature Register 0" bitfld.quad 0x00 60.--63. "CSV3,Speculative use of faulting data" "Reserved,Forbidden,?..." bitfld.quad 0x00 56.--59. "CSV2,Speculative use of faulting data" "Reserved,Forbidden,?..." newline bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..." bitfld.quad 0x00 24.--27. "GIC,GIC CPU interface" "Disabled,Enabled,?..." newline bitfld.quad 0x00 20.--23. "ASIMD,Advanced SIMD" "Reserved,Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" bitfld.quad 0x00 16.--19. "FP,Floating-point" "Reserved,Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" newline bitfld.quad 0x00 12.--15. "EL3_ELH,EL3 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." bitfld.quad 0x00 8.--11. "EL2_ELH,EL2 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." newline bitfld.quad 0x00 4.--7. "EL1_ELH,EL1 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." bitfld.quad 0x00 0.--3. "EL0_ELH,EL0 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..." rgroup.quad spr:0x30041++0x00 line.quad 0x00 "ID_AA64PFR1_EL1,Processor Feature Register 1" bitfld.quad 0x00 4.--7. "SSBS,Speculative store bypassing safe mechanism implemented" "Reserved,Implemented,?..." endif rgroup.quad spr:0x30050++0x00 line.quad 0x00 "ID_AA64DFR0_EL1,AArch64 Debug Feature Register" bitfld.quad 0x00 28.--31. "CTX_CMPS,Number of breakpoints that are context-aware" "Reserved,2,?..." bitfld.quad 0x00 20.--23. "WRPS,Number of watchpoints" "Reserved,Reserved,Reserved,4,?..." bitfld.quad 0x00 12.--15. "BRPS,Number of breakpoints" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." newline bitfld.quad 0x00 8.--11. "PMEV,Performance monitor extension version" "Reserved,Reserved,Reserved,Reserved,Version 3/16 bit evtCount,?..." bitfld.quad 0x00 4.--7. "TEV,Trace extension version" "Not implemented,?..." bitfld.quad 0x00 0.--3. "DAV,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8-A,?..." rgroup.quad spr:0x30060++0x00 line.quad 0x00 "ID_AA64ISAR0_EL1,Instruction Set Attribute Register 0" bitfld.quad 0x00 44.--47. "DP,Implemented UDOT and SDOT instructions" "Reserved,Implemented,?..." newline bitfld.quad 0x00 28.--31. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Implemented,?..." bitfld.quad 0x00 20.--23. "ATOMIC,Atomic instructions in AArch64" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 16.--19. "CRC32,Indicates whether CRC32 instructions are implemented" "Reserved,Implemented,?..." newline bitfld.quad 0x00 12.--15. "SHA2,SHA2 instructions in AArch64" "Not implemented,Implemented,?..." bitfld.quad 0x00 8.--11. "SHA1,SHA1 instructions in AArch64" "Not implemented,Implemented,?..." bitfld.quad 0x00 4.--7. "AES,AES instruction in AArch64" "Not implemented,Reserved,AESE/AESD/AESMC/AESIMC/PMULL/PMULL2,?..." if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30070++0x00 line.quad 0x00 "ID_AA64MMFR0_EL1,Memory Model Feature Register 0" bitfld.quad 0x00 28.--31. "TGRAN4,4KB granule supported" "Supported,?..." bitfld.quad 0x00 24.--27. "TGRAN64,64KB granule supported" "Supported,?..." bitfld.quad 0x00 20.--23. "TGRAN16,16KB granule supported" "Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "BIGENDEL0,Mixed-endian support at EL0" "Not supported,?..." bitfld.quad 0x00 12.--15. "SNSMEM,Secure versus Non-secure Memory distinction" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "BIGEND,Mixed-endian configuration support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "ASIDBITS,Number of ASID bits" "Reserved,Reserved,16 bits,?..." bitfld.quad 0x00 0.--3. "PARANGE,Physical address range supported" "Reserved,Reserved,Reserved,Reserved,44 bits/16 TB,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30070++0x00 line.quad 0x00 "ID_AA64MMFR0_EL1,Memory Model Feature Register 0" bitfld.quad 0x00 20.--23. "TGRAN16,16KB granule supported" "Reserved,Supported,?..." bitfld.quad 0x00 16.--19. "BIGENDEL0,Mixed-endian support at EL0" "Not supported,?..." bitfld.quad 0x00 12.--15. "SNSMEM,Secure versus Non-secure Memory distinction" "Reserved,Supported,?..." newline bitfld.quad 0x00 8.--11. "BIGEND,Mixed-endian configuration support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "ASIDBITS,Number of ASID bits" "Reserved,Reserved,16 bits,?..." bitfld.quad 0x00 0.--3. "PARANGE,Physical address range supported" "Reserved,Reserved,40 bits/1 TB,?..." endif rgroup.quad spr:0x30041++0x00 line.quad 0x00 "ID_AA64PFR1_EL1,Processor Feature Register 1" bitfld.quad 0x00 4.--7. "SSBS,Speculative Store Bypassing Safe (SSBS) mechanism support" "Reserved,Supported,?..." rgroup.quad spr:0x30051++0x00 line.quad 0x00 "ID_AA64DFR1_EL1,Debug Feature Register 1" rgroup.quad spr:0x30061++0x00 line.quad 0x00 "ID_AA64ISAR1_EL1,Instruction Set Attribute Register 1" bitfld.quad 0x00 20.--23. "LRCPC,Indicates whether load-acquire (LDA) instructions are implemented for an Release Consistent processor consistent RCPC model" "Reserved,Implemented,?..." bitfld.quad 0x00 0.--3. "DPB,DC CVAP support in AArch64" "Reserved,Implemented,?..." rgroup.quad spr:0x30071++0x00 line.quad 0x00 "ID_AA64MMFR1_EL1,Memory Model Feature Register 1" bitfld.quad 0x00 28.--31. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..." bitfld.quad 0x00 16.--19. "LO,Limited Order Regions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "HD,Hierarchical Permission Disabled Support" "Reserved,Reserved,Extended,?..." bitfld.quad 0x00 8.--11. "VH,Virtualization Host Extensions Support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "VMID,Number of VMID bits" "Reserved,Reserved,16 bits,?..." newline bitfld.quad 0x00 0.--3. "HAFDBS,Hardware updates of the Access and Dirty" "Reserved,Reserved,Access/Dirty supported,?..." if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30072++0x00 line.quad 0x00 "ID_AA64MMFR2_EL1,Memory Model Feature Register 2" bitfld.quad 0x00 12.--15. "IESB, Indicates whether an implicit Error Synchronization Barrier has been inserted" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "LSM,Indicates whether LDM and STM are supported" "Not supported,?..." bitfld.quad 0x00 4.--7. "UAO,User Access Override support" "Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "CNP,Common not Private support" "Reserved,Supported,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30072++0x00 line.quad 0x00 "ID_AA64MMFR2_EL1,Memory Model Feature Register 2" bitfld.quad 0x00 16.--19. "VARANGE,Indicates support for a larger virtual address" "Not supported,?..." bitfld.quad 0x00 12.--15. "IESB, Indicates whether an implicit Error Synchronization Barrier has been inserted" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "LSM,Indicates whether LDM and STM are supported" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "UAO,User Access Override support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "CNP,Common not Private support" "Reserved,Supported,?..." endif rgroup.quad spr:0x30054++0x00 line.quad 0x00 "ID_AA64AFR0_EL1,Auxiliary Feature Register 0" rgroup.quad spr:0x30055++0x00 line.quad 0x00 "ID_AA64AFR1_EL1,Auxiliary Feature Register 1" rgroup.quad spr:0x30010++0x00 line.quad 0x00 "ID_PFR0_EL1,AArch32 Processor Feature Register 0" bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..." bitfld.quad 0x00 16.--19. "CSV2,Speculative use of faulting data" "Not disclosed,?..." newline bitfld.quad 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Trivial,?..." bitfld.quad 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,After Thumb-2,?..." newline bitfld.quad 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..." if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30011++0x00 line.quad 0x00 "ID_PFR1_EL1,AArch32 Processor Feature Register 1" bitfld.quad 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..." bitfld.quad 0x00 24.--27. "VF,Virtualization fractional Support - Supported features from the ARMv7 Virtualization Extensions" "Not supported,?..." bitfld.quad 0x00 20.--23. "SF,Security fractional Support - Supported features from the ARMv7 Security Extensions" "Not supported,?..." newline bitfld.quad 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30011++0x00 line.quad 0x00 "ID_PFR1_EL1,AArch32 Processor Feature Register 1" bitfld.quad 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..." newline bitfld.quad 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." endif if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30034++0x00 line.quad 0x00 "ID_PFR2_EL1,AArch32 Processor Feature Register 2" bitfld.quad 0x00 0.--3. "CSV3,Speculative use of faulting data" "Reserved,Forbidden,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30034++0x00 line.quad 0x00 "ID_PFR2_EL1,AArch32 Processor Feature Register 2" bitfld.quad 0x00 4.--7. "SSBS,Speculative Store Bypassing Safe (SSBS) mechanism support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "CSV3,Speculative use of faulting data" "Reserved,Cannot be used,?..." endif rgroup.quad spr:0x30013++0x00 line.quad 0x00 "ID_AFR0_EL1,AArch32 Auxiliary Feature Register 0" rgroup.quad spr:0x30014++0x00 line.quad 0x00 "ID_MMFR0_EL1,Memory Model Feature Register 0" bitfld.quad 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,HW coherency,?..." bitfld.quad 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,Control/Fault Status,?..." newline bitfld.quad 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..." bitfld.quad 0x00 12.--15. "SL,Shareability levels" "Reserved,Implemented 2 levels,?..." bitfld.quad 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,HW coherency,?..." newline bitfld.quad 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,VMSAv7/PXN/L-DESC,?..." rgroup.quad spr:0x30015++0x00 line.quad 0x00 "ID_MMFR1_EL1,Memory Model Feature Register 1" bitfld.quad 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,No flushing,?..." bitfld.quad 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." rgroup.quad spr:0x30016++0x00 line.quad 0x00 "ID_MMFR2_EL1,Memory Model Feature Register 2" bitfld.quad 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,DSB/ISB/DMB,?..." newline bitfld.quad 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,S2 operations,?..." bitfld.quad 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." rgroup.quad spr:0x30017++0x00 line.quad 0x00 "ID_MMFR3_EL1,AArch32 Memory Model Feature Register 3" bitfld.quad 0x00 28.--31. "SS,Supersection support" "Supported,?..." bitfld.quad 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..." bitfld.quad 0x00 20.--23. "CW,Indicates whether translation table updates require a clean to the point of unification" "Reserved,Not required,?..." newline bitfld.quad 0x00 16.--19. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..." bitfld.quad 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Shareability/Defined behavior,?..." bitfld.quad 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Invalidate All/VA,?..." newline bitfld.quad 0x00 4.--7. "CMSW,Cache maintenance by set/way" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "CMMVA,Cache maintenance by MVA" "Reserved,Supported,?..." rgroup.quad spr:0x30026++0x00 line.quad 0x00 "ID_MMFR4_EL1,AArch32 Memory Model Feature Register 4" bitfld.quad 0x00 20.--23. "LSM,LSMAOE and NTLSMD bits support" "Not supported,?..." bitfld.quad 0x00 16.--19. "HD,Hierarchical Permission Disabled Support" "Reserved,Reserved,Extended,?..." bitfld.quad 0x00 12.--15. "CNP,Common not Private support" "Reserved,Supported,?..." newline bitfld.quad 0x00 8.--11. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Not supported,Supported,?..." bitfld.quad 0x00 4.--7. "AC2,Indicates the extension of the HACTLR register using HACTLR2" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "SPECSEI,Describes whether the PE can generate SError interrupt exceptions" "Not possible,?..." rgroup.quad spr:0x30020++0x00 line.quad 0x00 "ID_ISAR0_EL1,AArch32 Instruction Set Attribute Register 0" bitfld.quad 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,T32/A32,?..." bitfld.quad 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..." newline bitfld.quad 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..." rgroup.quad spr:0x30021++0x00 line.quad 0x00 "ID_ISAR1_EL1,AArch32 Instruction Set Attribute Register 1" bitfld.quad 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Full support,?..." bitfld.quad 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30022++0x00 line.quad 0x00 "ID_ISAR2_EL1,AArch32 Instruction Set Attribute Register 2" bitfld.quad 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 24.--27. "PSRI,PSR Instructions Support" "Not supported,Supported,?..." bitfld.quad 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,UMAAL,?..." newline bitfld.quad 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,PLD/PLI/PLDW,?..." newline bitfld.quad 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30023++0x00 line.quad 0x00 "ID_ISAR3_EL1, AArch32 Instruction Set Attribute Register 3" bitfld.quad 0x00 28.--31. "TEEEI,Thumb-EE Extensions Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30024++0x00 line.quad 0x00 "ID_ISAR4_EL1, AArch32 Instruction Set Attribute Register 4" bitfld.quad 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..." newline bitfld.quad 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30025++0x00 line.quad 0x00 "ID_ISAR5_EL1,AArch32 Instruction Set Attribute Register 5" bitfld.quad 0x00 24.--27. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 16.--19. "CRC32,CRC32 Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "SHA2,SHA2 Instructions Support" "Not supported,Supported,?..." newline bitfld.quad 0x00 8.--11. "SHA1,SHA1 Instructions Support" "Not supported,Supported,?..." bitfld.quad 0x00 4.--7. "AES,AES Instructions Support" "Not supported,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "SEVL, SEVL Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30027++0x00 line.quad 0x00 "ID_ISAR6_EL1,AArch32 Instruction Set Attribute Register 6" bitfld.quad 0x00 4.--7. "DP,UDOT and SDOT instructions support" "Reserved,Supported,?..." rgroup.quad spr:0x33001++0x00 line.quad 0x00 "CTR_EL0,Cache Type Register" bitfld.quad 0x0 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.quad 0x0 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 14.--15. "VIPT,L1 Instruction cache policy" "Reserved,Reserved,VIPT,?..." newline bitfld.quad 0x0 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." rgroup.quad spr:0x30005++0x00 line.quad 0x00 "MPIDR_EL1,MPIDR_EL1" hexmask.quad.byte 0x00 32.--39. 1. "AFF3,Affinity level 3. Highest level affinity field" bitfld.quad 0x00 30. "U,Uniprocessor" "Multiprocessor,?..." bitfld.quad 0x00 24. "MT,Indicates whether the lowest level of affinity consists of logical processors that are implemented using a multi-threading type approach" "Reserved,Very inter" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field" bitfld.quad 0x00 8.--10. "AFF1,Third highest level affinity field/Identification number for each CPU in cluster" "CPUID0,CPUID1,CPUID2,CPUID3,CPUID4,CPUID5,CPUID6,CPUID7" hexmask.quad.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. The level identifies individual threads within a multi-threaded core" rgroup.quad spr:0x30006++0x00 line.quad 0x00 "REVIDR_EL1,Revision ID register" rgroup.quad spr:0x33007++0x00 line.quad 0x00 "DCZID_EL0,DCZID_EL0" bitfld.quad 0x00 4. "DZP,Data Zero prohibited" "Permitted,Prohibited" bitfld.quad 0x00 0.--3. "BLOCK,Log2 of the block size in words" "Reserved,Reserved,Reserved,Reserved,16 words,?..." rgroup.quad spr:0x31007++0x00 line.quad 0x00 "AIDR_EL1,Auxiliary ID Register EL1" group.quad spr:0x34000++0x00 line.quad 0x00 "VPIDR_EL2,Virtualization Processor ID register" hexmask.quad.byte 0x0 24.--31. 1. "IMPL,Implementer code" bitfld.quad 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme" newline hexmask.quad.word 0x00 4.--15. 1. "PART,Primary Part Number" bitfld.quad 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad spr:0x34005++0x00 line.quad 0x00 "VMPIDR_EL2,Virtualization Multiprocessor ID registers" hexmask.quad.byte 0x00 32.--39. 1. "AFF3,Affinity level 3. Highest level affinity field" bitfld.quad 0x00 30. "U,Uniprocessor" "Multiprocessor,?..." bitfld.quad 0x00 24. "MT,Indicates whether the lowest level of affinity consists of logical processors that are implemented using a multi-threading type approach" "Reserved,Very interdependent" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field" bitfld.quad 0x00 8.--11. "AFF1,Third highest level affinity field/Identification number for each CPU in cluster" "CPUID0,CPUID1,CPUID2,CPUID3,CPUID4,CPUID5,CPUID6,CPUID7,?..." hexmask.quad.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. The level identifies individual threads within a multi-threaded core" tree.end tree "System Control and Configuration" group.quad spr:0x36111++0x00 line.quad 0x00 "SDER32_EL3,Secure Debug Enable Register" bitfld.quad 0x00 1. "SUNIDEN,Enable non-invasive debug features in Secure User mode" "Disabled,Enabled" bitfld.quad 0x00 0. "SUIDEN,Enable debug exceptions in Secure User mode" "Disabled,Enabled" if (CORENAME()=="CORTEXA75") group.quad spr:0x30100++0x00 line.quad 0x00 "SCTLR_EL1,System Control Register EL1" bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big" bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged" newline bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled" bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced" newline bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed" bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed" newline bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled" bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed" newline bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled" bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled" newline bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes" bitfld.quad 0x00 7. "ITD,IT Disable" "No," newline bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "A,Alignment Check" "Low,High" bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled" elif (CORENAME()=="CORTEXA55") group.quad spr:0x30100++0x00 line.quad 0x00 "SCTLR_EL1,System Control Register EL1" bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big" bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged" newline bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced" bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed" newline bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed" bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled" newline bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed" bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled" bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes" newline bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "A,Alignment Check" "Low,High" bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled" endif group.quad spr:0x35100++0x00 line.quad 0x00 "SCTLR_EL12,System Control Register EL12" bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big" bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged" newline bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled" bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced" newline bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed" bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed" newline bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled" bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed" newline bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled" bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled" newline bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes" bitfld.quad 0x00 7. "ITD,IT Disable" "No," newline bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "A,Alignment Check" "Low,High" bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled" group.quad spr:0x34100++0x00 line.quad 0x00 "SCTLR_EL2,System Control Register EL2" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced" newline bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Alignment Check" "Low,High" newline bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled" group.quad spr:0x36100++0x00 line.quad 0x00 "SCTLR_EL3,System Control Register EL3" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled" newline bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced" bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "A,Alignment Check" "Low,High" bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled" if (CORENAME()=="CORTEXA55") group.quad spr:0x30F70++0x00 line.quad 0x00 "ATCR_EL1,CPU Auxiliary Control Register" bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1" bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1" newline bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" newline bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" group.quad spr:0x35F70++0x00 line.quad 0x00 "ATCR_EL12,CPU Auxiliary Control Register" bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1" bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1" newline bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" newline bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" group.quad spr:0x34F70++0x00 line.quad 0x00 "ATCR_EL2,CPU Auxiliary Control Register" bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1" bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1" newline bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" newline bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" group.quad spr:0x36F70++0x00 line.quad 0x00 "ATCR_EL3,CPU Auxiliary Control Register" bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" group.quad spr:0x34F71++0x00 line.quad 0x00 "AVTCR_EL2,CPU Auxiliary Control Register" bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" endif group.quad spr:0x30F10++0x00 line.quad 0x00 "CPUACTLR_EL1,CPU Auxiliary Control Register" if (CORENAME()=="CORTEXA75") group.quad spr:0x30F11++0x00 line.quad 0x00 "CPUACTLR2_EL1,CPU Auxiliary Control Register 2" group.quad spr:0x30F14++0x00 line.quad 0x00 "CPUECTLR_EL1,CPU Extended Control Register" bitfld.quad 0x00 63. "GBPP,Branch prediction structure invalidation" "0,1" bitfld.quad 0x00 22.--23. "L4_STREAM,Threshold for direct stream to L4 cache on store" "512KB,1024KB,2048KB,Disabled" newline bitfld.quad 0x00 20.--21. "L3_STREAM,Threshold for direct stream to L3 cache on store" "64KB,256KB,512KB,Disabled" bitfld.quad 0x00 18.--19. "L2_STREAM,Threshold for direct stream to L2 cache on store" "16KB,64KB,128KB,Disabled" newline bitfld.quad 0x00 10. "L3PF,Enable L3 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" bitfld.quad 0x00 9. "L2PF,Enable L2 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" newline bitfld.quad 0x00 8. "L1PF,Enable L1 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" bitfld.quad 0x00 7. "RPF,Enable L2 region prefetch requests" "Disabled,Enabled" newline bitfld.quad 0x00 6. "MMUPF,Enable MMU prefetch requests" "Disabled,Enabled" bitfld.quad 0x00 5. "RPF_AGGRO,L2 region prefetcher aggressivity" "Less,More" newline bitfld.quad 0x00 1. "RNSD_EXCL,Enables signaling of cacheable Exclusive loads on the internal interface between the core and the DSU" "Disabled,Enabled" bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External" elif (CORENAME()=="CORTEXA55") group.quad spr:0x30F14++0x00 line.quad 0x00 "CPUECTLR_EL1,CPU Extended Control Register" bitfld.quad 0x00 38.--39. "ATOM,Force most cacheable atomic instructions to be executed far in the L3 cache or beyond and near in the L1 cache" "Near - hit/unique | Far - miss/shared,Near,Far,Near - load | Far - store" bitfld.quad 0x00 37. "L2FLUSH,L2 cache flush" "Enabled,Disabled" newline bitfld.quad 0x00 29.--30. "L3WSCTL,Write streaming no-L3-allocate threshold" "128th line,1024th line,4096th line,Disabled" bitfld.quad 0x00 27.--28. "L2WSCTL,Write streaming no-L2-allocate threshold" "16th line,128th line,512th line,Disabled" newline bitfld.quad 0x00 25.--26. "L1WSCTL,Write streaming no-L1-allocate threshold" "4th line,64th line,128th line,Disabled" bitfld.quad 0x00 13.--15. "L1PCTL,L1 Data prefetch control. Maximum number of outstanding data prefetches allowed in the L1 memory system" "Disabled,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--12. "L3PCTL,L3 Data prefetch control. Maximum number of outstanding data prefetches allowed that can be sent to the L3 memory system" "16 lines,32 lines,Reserved,Reserved,Disabled,2 lines,4 lines,8 lines" bitfld.quad 0x00 0. "EXTLLC,Indicates that an external Last-level cache is present in the system" "L3 cache,Present" group.quad spr:0x36F81++0x00 line.quad 0x00 "CPUPCR_EL3,CPU Private Control Register" group.quad spr:0x36F83++0x00 line.quad 0x00 "CPUPMR_EL3,CPU Private Mask Register" group.quad spr:0x36F82++0x00 line.quad 0x00 "CPUPOR_EL3,CPU Private Operation Register" group.quad spr:0x36F80++0x00 line.quad 0x00 "CPUPSELR_EL3,CPU Private Selection Register" endif group.quad spr:0x30101++0x00 line.quad 0x00 "ACTLR_EL1,Auxiliary Control register 1" if (CORENAME()=="CORTEXA75") group.quad spr:0x34101++0x00 line.quad 0x00 "ACTLR_EL2,Auxiliary Control register 2" bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible" bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" newline bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 4. "AMEN,Activity Monitor enable" "Trapped,Not trapped" newline bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible" group.quad spr:0x36101++0x00 line.quad 0x00 "ACTLR_EL3,Auxiliary Control register 3" bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 4. "AMEN,Activity Monitor enable" "Trapped,Not trapped" bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible" group.quad spr:0x30102++0x00 line.quad 0x00 "CPACR_EL1,Coprocessor Access Control Register 1" bitfld.quad 0x00 28. "TTA,Traps EL0 and EL1 System register accesses to all implemented trace registers to EL1" "No trap," bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap" group.quad spr:0x35102++0x00 line.quad 0x00 "CPACR_EL12,Coprocessor Access Control Register 1" bitfld.quad 0x00 28. "TTA,Traps EL0 and EL1 System register accesses to all implemented trace registers to EL1" "No trap," bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap" elif (CORENAME()=="CORTEXA55") group.quad spr:0x34101++0x00 line.quad 0x00 "ACTLR_EL2,Auxiliary Control register 2" bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible" bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" newline bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible" group.quad spr:0x36101++0x00 line.quad 0x00 "ACTLR_EL3,Auxiliary Control register 3" bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible" bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" newline bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible" bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible" newline bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible" group.quad spr:0x30102++0x00 line.quad 0x00 "CPACR_EL1,Coprocessor Access Control Register 1" bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap" group.quad spr:0x35102++0x00 line.quad 0x00 "CPACR_EL12,Coprocessor Access Control Register 1" bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap" endif group.quad spr:0x34112++0x00 line.quad 0x00 "CPTR_EL2,Coprocessor Access Control Register 2" bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap" bitfld.quad 0x00 10. "TFP,Trap Floating Point and Advanced SIMD execution" "No trap,Trap" group.quad spr:0x36112++0x00 line.quad 0x00 "CPTR_EL3,Coprocessor Access Control Register 3" bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap" bitfld.quad 0x00 10. "TFP,Trap Floating Point and Advanced SIMD execution" "No trap,Trap" group.quad spr:0x36110++0x00 line.quad 0x00 "SCR_EL3,Secure Configuration Register" bitfld.quad 0x00 15. "TERR,Trap Error record accesses" "No Trap,Trap" bitfld.quad 0x00 14. "TLOR,Trap access to the LOR Registers from Non-secure EL1 and EL2 to EL3" "No trap,Trap" newline bitfld.quad 0x00 13. "TWE,Trap WFE" "No trap,Trap" bitfld.quad 0x00 12. "TWI,Trap WFI" "No trap,Trap" newline bitfld.quad 0x00 11. "ST,Enables Secure EL1 access to the CNTPS_TVAL_EL1 CNTPS_CTL_EL1 CNTPS_CVAL_EL1[63:0] registers" "Disabled,Enabled" bitfld.quad 0x00 10. "RW,Register width control for lower exception levels" "AArch32,AArch64" newline bitfld.quad 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted" bitfld.quad 0x00 8. "HCE,Hypervisor Call enable" "Disabled,Enabled" newline bitfld.quad 0x00 7. "SMD,Secure Monitor Call disable at EL1, EL2, or EL3" "No,Yes" bitfld.quad 0x00 3. "EA,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor" newline bitfld.quad 0x00 2. "FIQ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor" bitfld.quad 0x00 1. "IRQ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor" newline bitfld.quad 0x00 0. "NS,Secure mode " "Secure,Non-secure" group.quad spr:0x34110++0x00 line.quad 0x00 "HCR_EL2,Hypervisor Configuration Register" bitfld.quad 0x00 35. "TLOR,Trap access to the LOR Registers from Non-secure EL1 to EL2" "No trap,Trap" bitfld.quad 0x00 34. "E2H,EL2 Host" "Disabled,Enabled" newline bitfld.quad 0x00 33. "ID,Stage 2 Instruction cache disable" "No,Yes" bitfld.quad 0x00 32. "CD,Stage 2 Data cache disable" "No,Yes" newline bitfld.quad 0x00 31. "RW,Register width control for lower exception levels" "AArch32,EL1 is 64-bit" bitfld.quad 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "No trap,Trap" newline bitfld.quad 0x00 28. "TDZ,Trap DC ZVA instruction" "No trap,Trap" bitfld.quad 0x00 27. "TGE,Trap General Exceptions has an enhanced role when EL2 is using AArch64" "No trap,Trap" newline bitfld.quad 0x00 26. "TVM,Trap Virtual Memory controls to EL2" "No trap,Trap" bitfld.quad 0x00 25. "TTLB,Trap TLB maintenance instructions to EL2" "No trap,Trap" newline bitfld.quad 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unification to EL2" "No trap,Trap" bitfld.quad 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency to EL2" "No trap,Trap" newline bitfld.quad 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way to EL2" "No trap,Trap" bitfld.quad 0x00 21. "TACR,Trap Auxiliary Control Register" "No trap,Trap" newline bitfld.quad 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "No trap,Trap" bitfld.quad 0x00 19. "TSC,Trap SMC" "No trap,Trap" newline bitfld.quad 0x00 18. "TID3,Trap ID Group 3" "No trap,Trap" bitfld.quad 0x00 17. "TID2,Trap ID Group 2" "No trap,Trap" newline bitfld.quad 0x00 16. "TID1,Trap ID Group 1" "No trap,Trap" bitfld.quad 0x00 15. "TID0,Trap ID Group 0" "No trap,Trap" newline bitfld.quad 0x00 14. "TWE,Trap WFE" "No trap,Trap" bitfld.quad 0x00 13. "TWI,Trap WFI" "No trap,Trap" newline bitfld.quad 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" bitfld.quad 0x00 10.--11. "BSU,Barrier Shareability upgrade. determines the minimum shareability domain that is applied to any barrier executed from EL1 or EL0" "No effect,Inner Shareable,Outer Shareable,Full System" newline bitfld.quad 0x00 9. "FB,Force broadcast" "Not forced,Forced" bitfld.quad 0x00 8. "VSE,Virtual System Error/Asynchronous Abort:" "Not pending,Pending" newline bitfld.quad 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending" bitfld.quad 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending" newline bitfld.quad 0x00 5. "AMO,Asynchronous abort and error interrupt routing" "Disabled,Enabled" bitfld.quad 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled" newline bitfld.quad 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled" bitfld.quad 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" newline bitfld.quad 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled" group.quad spr:0x30510++0x00 line.quad 0x00 "AFSR0_EL1,Auxiliary Fault Status Registers" group.quad spr:0x35510++0x00 line.quad 0x00 "AFSR0_EL12,Auxiliary Fault Status Registers" group.quad spr:0x30511++0x00 line.quad 0x00 "AFSR1_EL1,Auxiliary Fault Status Registers" group.quad spr:0x35511++0x00 line.quad 0x00 "AFSR1_EL12,Auxiliary Fault Status Registers" group.quad spr:0x34510++0x00 line.quad 0x00 "AFSR0_EL2,Auxiliary Fault Status Registers" group.quad spr:0x34511++0x00 line.quad 0x00 "AFSR1_EL2,Auxiliary Fault Status Registers" group.quad spr:0x36510++0x00 line.quad 0x00 "AFSR0_EL3,Auxiliary Fault Status Registers" group.quad spr:0x36511++0x00 line.quad 0x00 "AFSR1_EL3,Auxiliary Fault Status Registers" tree.open "Exception Syndrome Registers" if (((per.q(spr:0x30520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x30520))&0xFC000000)==0x04000000) if (((per.q(spr:0x30520))&0x1000000)==0x1000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" endif elif (((per.q(spr:0x30520))&0xFC000000)==(0x0C000000||0x14000000)) if (((per.q(spr:0x30520))&0x1000000)==0x1000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x30520))&0xFC000000)==(0x10000000||0x30000000)) if (((per.q(spr:0x30520))&0x1000000)==0x1000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x30520))&0xFC000000)==0x18000000) if (((per.q(spr:0x30520))&0x1000000)==0x1000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x30520))&0xFC000000)==0x1C000000) if (((per.q(spr:0x30520))&0x1000000)==0x1000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" endif elif (((per.q(spr:0x30520))&0xFC000000)==(0x44000000||0x54000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x30520))&0xFC000000)==0x60000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x30520))&0xFC000000)==(0x80000000||0x84000000)) if (((per.q(spr:0x30520))&0x3F)==(0x10)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif elif (((per.q(spr:0x30520))&0xFD000000)==(0x91000000||0x95000000)) if (((per.q(spr:0x30520))&0x3F)==(0x10)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x30520))&0xFD000000)==(0x90000000||0x94000000)) if (((per.q(spr:0x30520))&0x3F)==(0x10)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0800000||0xB0800000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0000000||0xB0000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x30520))&0xFD000000)==0xBD000000) if (((per.q(spr:0x30520))&0x3F)==0x11) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized" newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..." bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" newline bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" newline bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." endif elif (((per.q(spr:0x30520))&0xFD000000)==0xBC000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" elif (((per.q(spr:0x30520))&0xFC000000)==(0xC0000000||0xC4000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x30520))&0xFC000000)==(0xC8000000||0xCC000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x30520))&0xFC000000)==(0xD0000000||0xD4000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..." elif (((per.q(spr:0x30520))&0xFC000000)==(0xE0000000||0xF0000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif if (((per.q(spr:0x35520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x35520))&0xFC000000)==0x04000000) if (((per.q(spr:0x35520))&0x1000000)==0x1000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" endif elif (((per.q(spr:0x35520))&0xFC000000)==(0x0C000000||0x14000000)) if (((per.q(spr:0x35520))&0x1000000)==0x1000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x35520))&0xFC000000)==(0x10000000||0x30000000)) if (((per.q(spr:0x35520))&0x1000000)==0x1000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x35520))&0xFC000000)==0x18000000) if (((per.q(spr:0x35520))&0x1000000)==0x1000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x35520))&0xFC000000)==0x1C000000) if (((per.q(spr:0x35520))&0x1000000)==0x1000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" endif elif (((per.q(spr:0x35520))&0xFC000000)==(0x44000000||0x54000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x35520))&0xFC000000)==0x60000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x35520))&0xFC000000)==(0x80000000||0x84000000)) if (((per.q(spr:0x35520))&0x3F)==(0x10)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif elif (((per.q(spr:0x35520))&0xFD000000)==(0x91000000||0x95000000)) if (((per.q(spr:0x35520))&0x3F)==(0x10)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x35520))&0xFD000000)==(0x90000000||0x94000000)) if (((per.q(spr:0x35520))&0x3F)==(0x10)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x35520))&0xFC800000)==(0xA0800000||0xB0800000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x35520))&0xFC800000)==(0xA0000000||0xB0000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x35520))&0xFD000000)==0xBD000000) if (((per.q(spr:0x35520))&0x3F)==0x11) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized" newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..." bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" newline bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" newline bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." endif elif (((per.q(spr:0x35520))&0xFD000000)==0xBC000000) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" elif (((per.q(spr:0x35520))&0xFC000000)==(0xC0000000||0xC4000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x35520))&0xFC000000)==(0xC8000000||0xCC000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x35520))&0xFC000000)==(0xD0000000||0xD4000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..." elif (((per.q(spr:0x35520))&0xFC000000)==(0xE0000000||0xF0000000)) group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x35520++0x00 line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif if (((per.q(spr:0x34520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x34520))&0xFC000000)==0x04000000) if (((per.q(spr:0x34520))&0x1000000)==0x1000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" endif elif (((per.q(spr:0x34520))&0xFC000000)==(0x0C000000||0x14000000||0x20000000)) if (((per.q(spr:0x34520))&0x1000000)==0x1000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x34520))&0xFC000000)==(0x10000000||0x30000000)) if (((per.q(spr:0x34520))&0x1000000)==0x1000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x34520))&0xFC000000)==0x18000000) if (((per.q(spr:0x34520))&0x1000000)==0x1000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x34520))&0xFC000000)==0x1C000000) if (((per.q(spr:0x34520))&0x1000000)==0x1000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" endif elif (((per.q(spr:0x34520))&0xFC000000)==(0x44000000||0x48000000||0x54000000||0x58000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x34520))&0xFC000000)==0x4C000000) if ((((per.q(spr:0x34520))&0x1000000)==0x1000000)&&(((per.q(spr:0x34520))&0xF0000)==0x80000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" elif (((per.q(spr:0x34520))&0xF0000)==0x80000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" endif elif (((per.q(spr:0x34520))&0xFC000000)==0x5C000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction" elif (((per.q(spr:0x34520))&0xFC000000)==0x60000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x34520))&0xFC000000)==(0x80000000||0x84000000)) if (((per.q(spr:0x34520))&0x3F)==(0x10)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif elif (((per.q(spr:0x34520))&0xFD000000)==(0x91000000||0x95000000)) if (((per.q(spr:0x34520))&0x3F)==(0x10)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x34520))&0xFD000000)==(0x90000000||0x94000000)) if (((per.q(spr:0x34520))&0x3F)==(0x10)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0800000||0xB0800000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0000000||0xB0000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x34520))&0xFD000000)==0xBD000000) if (((per.q(spr:0x34520))&0x3F)==0x11) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized" newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..." newline bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" newline newline bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." endif elif (((per.q(spr:0x34520))&0xFD000000)==0xBC000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" elif (((per.q(spr:0x34520))&0xFC000000)==(0xC0000000||0xC4000000||0xE8000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x34520))&0xFC000000)==(0xC8000000||0xCC000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (((per.q(spr:0x34520))&0xFC000000)==(0xD0000000||0xD4000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..." elif (((per.q(spr:0x34520))&0xFC000000)==(0xE0000000||0xF0000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif if (((per.q(spr:0x36520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x36520))&0xFC000000)==0x04000000) if (((per.q(spr:0x36520))&0x1000000)==0x1000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" endif elif (((per.q(spr:0x36520))&0xFC000000)==(0x0C000000||0x14000000)) if (((per.q(spr:0x36520))&0x1000000)==0x1000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x36520))&0xFC000000)==(0x10000000||0x30000000)) if (((per.q(spr:0x36520))&0x1000000)==0x1000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x36520))&0xFC000000)==0x18000000) if (((per.q(spr:0x36520))&0x1000000)==0x1000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" endif elif (((per.q(spr:0x36520))&0xFC000000)==0x1C000000) if (((per.q(spr:0x36520))&0x1000000)==0x1000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" endif elif (((per.q(spr:0x36520))&0xFC000000)==0x4C000000) if ((((per.q(spr:0x36520))&0x1000000)==0x1000000)&&(((per.q(spr:0x36520))&0xF0000)==0x80000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" elif (((per.q(spr:0x36520))&0xF0000)==0x80000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional" endif elif (((per.q(spr:0x36520))&0xFC000000)==(0x54000000||0x58000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x36520))&0xFC000000)==0x5C000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction" elif (((per.q(spr:0x36520))&0xFC000000)==0x60000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x36520))&0xFC000000)==0x7C000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.long 0x00 0.--24. 1. "IMPL_DEF,Implementation defined" elif (((per.q(spr:0x36520))&0xFC000000)==(0x80000000||0x84000000)) if (((per.q(spr:0x36520))&0x3F)==(0x10)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif elif (((per.q(spr:0x36520))&0xFD000000)==(0x91000000||0x95000000)) if (((per.q(spr:0x36520))&0x3F)==(0x10)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x36520))&0xFD000000)==(0x90000000||0x94000000)) if (((per.q(spr:0x36520))&0x3F)==(0x10)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" newline newline newline newline bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" endif elif (((per.q(spr:0x36520))&0xFC800000)==0xB0800000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x36520))&0xFC800000)==0xB0000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x36520))&0xFD000000)==0xBD000000) if (((per.q(spr:0x36520))&0x3F)==0x11) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized" newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..." newline bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" newline newline bitfld.quad 0x00 9. "EA,External abort type" "No,Yes" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..." endif elif (((per.q(spr:0x36520))&0xFD000000)==0xBC000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold" elif (((per.q(spr:0x36520))&0xFC000000)==0xF0000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif tree.end newline if (CORENAME()=="CORTEXA75") group.quad spr:0x30C11++0x00 line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register" bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined," newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." bitfld.quad 0x00 0.--5. "DFSC,Fault status code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError,?..." elif (CORENAME()=="CORTEXA55") if (((per.q(spr:0x30C11))&0x1000000)==0x00)&&(((per.q(spr:0x30C11))&0x3F)==0x11) group.quad spr:0x30C11++0x00 line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register" bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined," newline bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." bitfld.quad 0x00 0.--5. "DFSC,Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch32,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch64,?..." else group.quad spr:0x30C11++0x00 line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register" bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined," newline bitfld.quad 0x00 0.--5. "DFSC,Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch32,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch64,?..." endif endif if (CORENAME()=="CORTEXA75") group.quad spr:0x34523++0x00 line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch32" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." bitfld.quad 0x00 12. "EXT,External Abort Type" "0,1" group.quad spr:0x34523++0x00 line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch64" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined" hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information" if (((per.q(spr:0x34C11))&0x200)==0x00) group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Short-descriptor" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long" bitfld.quad 0x00 0.--3. 10. "FS,Fault status code" ",,,,,,,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..." else group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Long-descriptor" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long" bitfld.quad 0x00 0.--5. "STATUS,Fault status code" ",,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..." endif group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch64" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined" newline hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information" elif (CORENAME()=="CORTEXA55") group.quad spr:0x34523++0x00 line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch32" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." group.quad spr:0x34523++0x00 line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch64" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined" hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information" if (((per.q(spr:0x34C11))&0x200)==0x00) group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Short-descriptor" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long" bitfld.quad 0x00 0.--3. 10. "FS,Fault status code" ",,,,,,,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..." else group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Long-descriptor" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long" bitfld.quad 0x00 0.--5. "STATUS,Fault status code" ",,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..." endif group.quad spr:0x34C11++0x00 line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch64" bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred" bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined" newline hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information" endif if (((per.q(c15:0x0202))&0x80000000)==0x00000000) group.quad spr:0x34501++0x00 line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register" bitfld.quad 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.quad 0x00 12. "EXT,External abort type" "0,1" newline bitfld.quad 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.quad 0x00 0.--3. 10. "FS,Fault Status" "Reserved,Reserved,Debug event,Access flag/section,Reserved,Translation/section,Access flag/page,Translation/page,Sync. external/non-translation,Domain/section,Reserved,Domain/page,Sync. external/on TTW/L1,Permission/section,Sync. external/on TTW/L2,Permission/page,TLB conflict,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/L1,Reserved,Sync. parity/on TTW/L2,?..." else group.quad spr:0x34501++0x00 line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register" bitfld.quad 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.quad 0x00 12. "EXT,External abort type" "0,1" newline bitfld.quad 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.quad 0x00 0.--5. "STATUS,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif group.quad spr:0x30600++0x00 line.quad 0x00 "FAR_EL1,Fault Address Register" group.quad spr:0x35600++0x00 line.quad 0x00 "FAR_EL12,Fault Address Register" group.quad spr:0x34600++0x00 line.quad 0x00 "FAR_EL2,Fault Address Register" group.quad spr:0x36600++0x00 line.quad 0x00 "FAR_EL3,Fault Address Register" group.quad spr:0x34604++0x00 line.quad 0x00 "HPFAR_EL2,Hypervisor IPA Fault Address Register" hexmask.quad 0x00 4.--43. 0x10 "FIPA[51:12],Bits [51:12] of the faulting intermediate physical address" group.quad spr:0x31F30++0x00 line.quad 0x00 "CBAR_EL1,Configuration Base Address Register EL1" hexmask.quad.long 0x00 18.--43. 0x4 "PERIPHBASE,Holds the physical base address of the memory-mapped GIC CPU interface registers" group.quad spr:0x30C00++0x00 line.quad 0x00 "VBAR_EL1,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address" group.quad spr:0x35C00++0x00 line.quad 0x00 "VBAR_EL12,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address" group.quad spr:0x34C00++0x00 line.quad 0x00 "VBAR_EL2,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address" group.quad spr:0x36C00++0x00 line.quad 0x00 "VBAR_EL3,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address" if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x36C01++0x00 line.quad 0x00 "RVBAR_EL3,Reset Vector Base Address Register" elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x36C01++0x00 line.quad 0x00 "RVBAR_EL3,Reset Vector Base Address Register" hexmask.quad 0x00 0.--39. 0x1 "RVBA,Reset Vector Base Address" endif group.quad spr:0x30C02++0x00 line.quad 0x00 "RMR_EL1,Reset Management Register" bitfld.quad 0x00 1. "RR,Reset Request" "Not requested,Requested" bitfld.quad 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64" group.quad spr:0x36C02++0x00 line.quad 0x00 "RMR_EL3,Reset Management Register" bitfld.quad 0x00 1. "RR,Reset Request" "Not requested,Requested" bitfld.quad 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64" rgroup.quad spr:0x30C10++0x00 line.quad 0x00 "ISR_EL1,Interrupt Status Register" bitfld.quad 0x00 8. "A,SError interrupt pending bit" "Not pending,Pending" bitfld.quad 0x00 7. "I,IRQ pending bit" "Not pending,Pending" newline bitfld.quad 0x00 6. "F,FIQ pending bit" "Not pending,Pending" group.quad spr:0x30D01++0x00 line.quad 0x00 "CONTEXTIDR_EL1,Context ID Register" group.quad spr:0x35D01++0x00 line.quad 0x00 "CONTEXTIDR_EL12,Context ID Register" group.quad spr:0x34D01++0x00 line.quad 0x00 "CONTEXTIDR_EL2,Context ID Register" group.quad spr:0x33D02++0x00 line.quad 0x00 "TPIDR_EL0,Software Thread ID registers" group.quad spr:0x33D03++0x00 line.quad 0x00 "TPIDRRO_EL0,Software Thread ID registers" group.quad spr:0x30D04++0x00 line.quad 0x00 "TPIDR_EL1,Software Thread ID registers" group.quad spr:0x34D02++0x00 line.quad 0x00 "TPIDR_EL2,Software Thread ID registers" group.quad spr:0x36D02++0x00 line.quad 0x00 "TPIDR_EL3,Software Thread ID registers" tree "System Instructions" wgroup.quad spr:0x10710++0x00 line.quad 0x00 "IC_IALLUIS,IC_IALLUIS" wgroup.quad spr:0x10750++0x00 line.quad 0x00 "IC_IALLU,IC_IALLU" wgroup.quad spr:0x13751++0x00 line.quad 0x00 "IC_IVAU,IC_IVAU" wgroup.quad spr:0x13741++0x00 line.quad 0x00 "DC_ZVA,DC_ZVA" wgroup.quad spr:0x10761++0x00 line.quad 0x00 "DC_IVAC,DC_IVAC" wgroup.quad spr:0x10762++0x00 line.quad 0x00 "DC_ISW,DC_ISW" wgroup.quad spr:0x137A1++0x00 line.quad 0x00 "DC_CVAC,DC_CVAC" wgroup.quad spr:0x137C1++0x00 line.quad 0x00 "DC_CVAP,DC CVAP" wgroup.quad spr:0x107A2++0x00 line.quad 0x00 "DC_CSW,DC_CSW" wgroup.quad spr:0x137B1++0x00 line.quad 0x00 "DC_CVAU,DC_CVAU" wgroup.quad spr:0x137E1++0x00 line.quad 0x00 "DC_CIVAC,DC_CIVAC" wgroup.quad spr:0x107E2++0x00 line.quad 0x00 "DC_CISW,DC_CISW" wgroup.quad spr:0x10780++0x00 line.quad 0x00 "AT_S1E1R,AT_S1E1R" wgroup.quad spr:0x10781++0x00 line.quad 0x00 "AT_S1E1W,AT_S1E1W" wgroup.quad spr:0x10782++0x00 line.quad 0x00 "AT_S1E0R,AT_S1E0R" wgroup.quad spr:0x10790++0x00 line.quad 0x00 "AT_S1E1RP,AT_S1E1RP" wgroup.quad spr:0x10791++0x00 line.quad 0x00 "AT_S1E1WP,AT_S1E1WP" wgroup.quad spr:0x10783++0x00 line.quad 0x00 "AT_S1E0W,AT_S1E0W" wgroup.quad spr:0x14784++0x00 line.quad 0x00 "AT_S12E1R,AT_S12E1R" wgroup.quad spr:0x14785++0x00 line.quad 0x00 "AT_S12E1W,AT_S12E1W" wgroup.quad spr:0x14786++0x00 line.quad 0x00 "AT_S12E0R,AT_S12E0R" wgroup.quad spr:0x14787++0x00 line.quad 0x00 "AT_S12E0W,AT_S12E0W" wgroup.quad spr:0x14780++0x00 line.quad 0x00 "AT_S1E2R,AT_S1E2R" wgroup.quad spr:0x14781++0x00 line.quad 0x00 "AT_S1E2W,AT_S1E2W" wgroup.quad spr:0x16780++0x00 line.quad 0x00 "AT_S1E3R,AT_S1E3R" wgroup.quad spr:0x16781++0x00 line.quad 0x00 "AT_S1E3W,AT_S1E3W" wgroup.quad spr:0x10870++0x00 line.quad 0x00 "TLBI_VMALLE1,TLBI_VMALLE1" wgroup.quad spr:0x10871++0x00 line.quad 0x00 "TLBI_VAE1,TLBI_VAE1" wgroup.quad spr:0x10872++0x00 line.quad 0x00 "TLBI_ASIDE1,TLBI_ASIDE1" wgroup.quad spr:0x10873++0x00 line.quad 0x00 "TLBI_VAAE1,TLBI_VAAE1" wgroup.quad spr:0x10875++0x00 line.quad 0x00 "TLBI_VALE1,TLBI_VALE1" wgroup.quad spr:0x10877++0x00 line.quad 0x00 "TLBI_VAALE1,TLBI_VAALE1" wgroup.quad spr:0x10830++0x00 line.quad 0x00 "TLBI_VMALLE1IS,TLBI_VMALLE1IS" wgroup.quad spr:0x10831++0x00 line.quad 0x00 "TLBI_VAE1IS,TLBI_VAE1IS" wgroup.quad spr:0x10832++0x00 line.quad 0x00 "TLBI_ASIDE1IS,TLBI_ASIDE1IS" wgroup.quad spr:0x10833++0x00 line.quad 0x00 "TLBI_VAAE1IS,TLBI_VAAE1IS" wgroup.quad spr:0x10835++0x00 line.quad 0x00 "TLBI_VALE1IS,TLBI_VALE1IS" wgroup.quad spr:0x10837++0x00 line.quad 0x00 "TLBI_VAALE1IS,TLBI_VAALE1IS" wgroup.quad spr:0x14801++0x00 line.quad 0x00 "TLBI_IPAS2E1IS,TLBI_IPAS2E1IS" wgroup.quad spr:0x14805++0x00 line.quad 0x00 "TLBI_IPAS2LE1IS,TLBI_IPAS2LE1IS" wgroup.quad spr:0x14841++0x00 line.quad 0x00 "TLBI_IPAS2E1,TLBI_IPAS2E1" wgroup.quad spr:0x14845++0x00 line.quad 0x00 "TLBI_IPAS2LE1,TLBI_IPAS2LE1" wgroup.quad spr:0x14871++0x00 line.quad 0x00 "TLBI_VAE2,TLBI_VAE2" wgroup.quad spr:0x14875++0x00 line.quad 0x00 "TLBI_VALE2,TLBI_VALE2" wgroup.quad spr:0x14876++0x00 line.quad 0x00 "TLBI_VMALLS12E1,TLBI_VMALLS12E1" wgroup.quad spr:0x14831++0x00 line.quad 0x00 "TLBI_VAE2IS,TLBI_VAE2IS" wgroup.quad spr:0x14835++0x00 line.quad 0x00 "TLBI_VALE2IS,TLBI_VALE2IS" wgroup.quad spr:0x14836++0x00 line.quad 0x00 "TLBI_VMALLS12E1IS,TLBI_VMALLS12E1IS" wgroup.quad spr:0x16871++0x00 line.quad 0x00 "TLBI_VAE3,TLBI_VAE3" wgroup.quad spr:0x16875++0x00 line.quad 0x00 "TLBI_VALE3,TLBI_VALE3" wgroup.quad spr:0x16831++0x00 line.quad 0x00 "TLBI_VAE3IS,TLBI_VAE3IS" wgroup.quad spr:0x16835++0x00 line.quad 0x00 "TLBI_VALE3IS,TLBI_VALE3IS" wgroup.quad spr:0x14870++0x00 line.quad 0x00 "TLBI_ALLE2,TLBI_ALLE2" wgroup.quad spr:0x14830++0x00 line.quad 0x00 "TLBI_ALLE2IS,TLBI_ALLE2IS" wgroup.quad spr:0x14874++0x00 line.quad 0x00 "TLBI_ALLE1,TLBI_ALLE1" wgroup.quad spr:0x14834++0x00 line.quad 0x00 "TLBI_ALLE1IS,TLBI_ALLE1IS" wgroup.quad spr:0x16870++0x00 line.quad 0x00 "TLBI_ALLE3,TLBI_ALLE3" wgroup.quad spr:0x16830++0x00 line.quad 0x00 "TLBI_ALLE3IS,TLBI_ALLE3IS" tree.end tree.end tree "Memory Management Unit" tree.open "Hypervisor Configuration System Registers" group.quad spr:0x34113++0x00 line.quad 0x00 "HSTR_EL2,Hypervisor System Trap Register" bitfld.quad 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No trap,Trap" bitfld.quad 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No trap,Trap" bitfld.quad 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No trap,Trap" newline bitfld.quad 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No trap,Trap" bitfld.quad 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No trap,Trap" bitfld.quad 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No trap,Trap" newline bitfld.quad 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No trap,Trap" bitfld.quad 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No trap,Trap" bitfld.quad 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No trap,Trap" newline bitfld.quad 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No trap,Trap" bitfld.quad 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No trap,Trap" bitfld.quad 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No trap,Trap" newline bitfld.quad 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No trap,Trap" bitfld.quad 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No trap,Trap" group.quad spr:0x34117++0x00 line.quad 0x00 "HACR_EL2,Hypervisor Auxiliary Configuration Register" tree.end if (CORENAME()=="CORTEXA75") group.quad spr:0x30200++0x00 line.quad 0x00 "TTBR0_EL1,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x30201++0x00 line.quad 0x00 "TTBR1_EL1,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x35200++0x00 line.quad 0x00 "TTBR0_EL12,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x35201++0x00 line.quad 0x00 "TTBR1_EL12,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34200++0x00 line.quad 0x00 "TTBR0_EL2,Translation Table Base Registers" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34201++0x00 line.quad 0x00 "TTBR1_EL2,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x36200++0x00 line.quad 0x00 "TTBR0_EL3,Translation Table Base Registers" hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address" bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34210++0x00 line.quad 0x00 "VTTBR_EL2,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 4.--47. 0x10 "BADDR[47:4],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" elif (CORENAME()=="CORTEXA55") group.quad spr:0x30200++0x00 line.quad 0x00 "TTBR0_EL1,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x30201++0x00 line.quad 0x00 "TTBR1_EL1,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x35200++0x00 line.quad 0x00 "TTBR0_EL12,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x35201++0x00 line.quad 0x00 "TTBR1_EL12,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34200++0x00 line.quad 0x00 "TTBR0_EL2,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34201++0x00 line.quad 0x00 "TTBR1_EL2,Translation Table Base Registers" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x36200++0x00 line.quad 0x00 "TTBR0_EL3,Translation Table Base Registers" hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address" bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad spr:0x34210++0x00 line.quad 0x00 "VTTBR_EL2,Virtualization Translation Table Base Register" hexmask.quad.word 0x00 48.--63. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 2.--47. 0x04 "BADDR[47:1],Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported," endif group.quad spr:0x30202++0x00 line.quad 0x00 "TCR_EL1,Translation Control Registers" bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes" bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes" newline bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored" bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit" bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..." newline bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte" bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" newline bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes" bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1" newline bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes" newline bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.quad spr:0x35202++0x00 line.quad 0x00 "TCR_EL12,Translation Control Registers" bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes" bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes" newline bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored" bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit" bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..." newline bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte" bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" newline bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes" bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1" newline bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes" newline bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" if (((per.q(spr:0x34110))&0x400000000)==0x000000000) group.quad spr:0x34202++0x00 line.quad 0x00 "TCR_EL2,Translation Control Registers" bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes" bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,?..." bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.quad spr:0x34202++0x00 line.quad 0x00 "TCR_EL2,Translation Control Registers" bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible" newline bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes" bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes" newline bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored" bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit" bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..." newline bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte" bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" newline bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes" bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1" newline bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes" newline bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif if (CORENAME()=="CORTEXA75") group.quad spr:0x36202++0x00 line.quad 0x00 "TCR_EL3,Translation Control Registers" bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes" bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TB,4PB,?..." bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" elif (CORENAME()=="CORTEXA55") group.quad spr:0x36202++0x00 line.quad 0x00 "TCR_EL3,Translation Control Registers" bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes" bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled" newline bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled" bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored" newline bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,?..." bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..." newline bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif if (((per.q(spr:0x34212))&0xC000)==0x0) group.quad spr:0x34212++0x00 line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register" bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 2" "Disabled,Enabled" bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 2" "Disabled,Enabled" newline bitfld.quad 0x00 19. "VS,VMID Size" "8-bit,16-bit" bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TByte,?..." newline bitfld.quad 0x00 14.--15. "TG0,VTTBR0_EL2 Granule size" "4KByte,64KByte,16KByte,?..." bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for VTTBR_EL2 as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable" newline bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for VTTBR_EL2 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for VTTBR_EL2 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" newline bitfld.quad 0x00 6.--7. "SL0,Starting level of the VTCR_EL2 addressed region" "Level 2,Level 1,Level 0,?..." bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for VTTBR_EL2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.quad spr:0x34212++0x00 line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register" bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage 2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 2" "Disabled,Enabled" bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 2" "Disabled,Enabled" newline bitfld.quad 0x00 19. "VS,VMID Size" "8-bit,16-bit" bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TByte,?..." newline bitfld.quad 0x00 14.--15. "TG0,VTTBR0_EL2 Granule size" "4KByte,64KByte,16KByte,?..." bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for VTTBR_EL2 as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable" newline bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for VTTBR_EL2 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable" bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for VTTBR_EL2 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable" newline bitfld.quad 0x00 6.--7. "SL0,Starting level of the VTCR_EL2 addressed region" "Level 3,Level 2,Level 1,?..." bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for VTTBR_EL2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif if (CORENAME()=="CORTEXA75") if (((per.q(spr:0x30740))&0xF000000000000001)==0x0000000000000000) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Device-nGnRnE memory,---,---,---,Device-nGnRE memory,---,---,---,Device-nGRE memory,---,---,---,Device-GRE memory,---,---,---" newline hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.q(spr:0x30740))&0x01)==0x00)&&(((per.q(spr:0x30740))&0xF000000000000000)==(0x1000000000000000||0x2000000000000000||0x3000000000000000||0x5000000000000000||0x6000000000000000||0x7000000000000000))) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline newline hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif (((per.q(spr:0x30740))&0x01)==0x00) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "---,Inner Write-Through Transient W,Inner Write-Through Transient R,Inner Write-Through Transient RW,Inner Non-cacheable,Inner Write-Back Transient R,Inner Write-Back Transient W,Inner Write-Back Transient RW,Inner Write-Through Non-transient,Inner Write-Through Non-transient W,Inner Write-Through Non-transient R,Inner Write-Through Non-transient RW,Inner Write-Back Non-transient,Inner Write-Back Non-transient W,Inner Write-Back Non-transient R,Inner Write-Back Non-transient RW" newline hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" else group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 9. "S,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "PTW,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size fault/zeroth level/TTBR,Address size fault/first level,Address size fault/second level,Address size fault/third level,Translation fault/zeroth level,Translation fault/first level,Translation fault/second level,Translation fault/third level,Reserved,Access flag fault/first level,Access flag fault/second level,Access flag fault/third level,Reserved,Permission fault/first level,Permission fault/second level,Permission fault/third level,Synchronous external abort/not TTBR,Reserved,Reserved,Reserved,Synchronous external abort/TTBR/zeroth level,Synchronous external abort/TTBR/first level,Synchronous external abort/TTBR/second level,Synchronous external abort/TTBR/third level,Synchronous parity/ECC error/not TTBR,Reserved,Reserved,Reserved,Synchronous parity/ECC error/TTBR/zeroth level,Synchronous parity/ECC error/TTBR/first level,Synchronous parity/ECC error/TTBR/second level,Synchronous parity/ECC error/TTBR/third level,Reserved,Alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain fault,Page Domain fault,Reserved" newline newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" endif elif (CORENAME()=="CORTEXA55") if (((per.q(spr:0x30740))&0xF000000000000001)==0x0000000000000000) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Device-nGnRnE memory,---,---,---,Device-nGnRE memory,---,---,---,Device-nGRE memory,---,---,---,Device-GRE memory,---,---,---" newline hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.q(spr:0x30740))&0x01)==0x00)&&(((per.q(spr:0x30740))&0xF000000000000000)==(0x1000000000000000||0x2000000000000000||0x3000000000000000||0x5000000000000000||0x6000000000000000||0x7000000000000000))) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline newline hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif (((per.q(spr:0x30740))&0x01)==0x00) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" newline bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "---,Inner Write-Through Transient W,Inner Write-Through Transient R,Inner Write-Through Transient RW,Inner Non-cacheable,Inner Write-Back Transient R,Inner Write-Back Transient W,Inner Write-Back Transient RW,Inner Write-Through Non-transient,Inner Write-Through Non-transient W,Inner Write-Through Non-transient R,Inner Write-Through Non-transient RW,Inner Write-Back Non-transient,Inner Write-Back Non-transient W,Inner Write-Back Non-transient R,Inner Write-Back Non-transient RW" newline hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" else group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 9. "S,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "PTW,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size fault/zeroth level/TTBR,Address size fault/first level,Address size fault/second level,Address size fault/third level,Translation fault/zeroth level,Translation fault/first level,Translation fault/second level,Translation fault/third level,Reserved,Access flag fault/first level,Access flag fault/second level,Access flag fault/third level,Reserved,Permission fault/first level,Permission fault/second level,Permission fault/third level,Synchronous external abort/not TTBR,Reserved,Reserved,Reserved,Synchronous external abort/TTBR/zeroth level,Synchronous external abort/TTBR/first level,Synchronous external abort/TTBR/second level,Synchronous external abort/TTBR/third level,Synchronous parity/ECC error/not TTBR,Reserved,Reserved,Reserved,Synchronous parity/ECC error/TTBR/zeroth level,Synchronous parity/ECC error/TTBR/first level,Synchronous parity/ECC error/TTBR/second level,Synchronous parity/ECC error/TTBR/third level,Reserved,Alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain fault,Page Domain fault,Reserved" newline newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" endif endif newline tree.open "Memory Attribute Indirection Registers" if (CORENAME()=="CORTEXA75") group.quad spr:0x30A20++0x00 line.quad 0x00 "MAIR_EL1,Memory Attribute Indirection Register (EL1)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x35A20++0x00 line.quad 0x00 "MAIR_EL12,Memory Attribute Indirection Register (EL12)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x34A20++0x00 line.quad 0x00 "MAIR_EL2,Memory Attribute Indirection Register (EL2)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x36A20++0x00 line.quad 0x00 "MAIR_EL3,Memory Attribute Indirection Register (EL3)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" elif (CORENAME()=="CORTEXA55") group.quad spr:0x30A20++0x00 line.quad 0x00 "MAIR_EL1,Memory Attribute Indirection Register (EL1)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x35A20++0x00 line.quad 0x00 "MAIR_EL12,Memory Attribute Indirection Register (EL12)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x34A20++0x00 line.quad 0x00 "MAIR_EL2,Memory Attribute Indirection Register (EL2)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x36A20++0x00 line.quad 0x00 "MAIR_EL3,Memory Attribute Indirection Register (EL3)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" endif group.quad spr:0x30A30++0x00 line.quad 0x00 "AMAIR_EL1,Auxiliary Memory Attribute Indirection Register" group.quad spr:0x35A30++0x00 line.quad 0x00 "AMAIR_EL12,Auxiliary Memory Attribute Indirection Register" group.quad spr:0x34A30++0x00 line.quad 0x00 "AMAIR_EL2,Auxiliary Memory Attribute Indirection Register" group.quad spr:0x36A30++0x00 line.quad 0x00 "AMAIR_EL3,Auxiliary Memory Attribute Indirection Register" tree.end newline group.quad spr:0x34300++0x00 line.quad 0x00 "DACR32_EL2,Domain Access Control Register" bitfld.quad 0x00 30.--31. "D15,Domain 15 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 28.--29. "D14,Domain 14 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 26.--27. "D13,Domain 13 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 24.--25. "D12,Domain 12 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 22.--23. "D11,Domain 11 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 20.--21. "D10,Domain 10 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 18.--19. "D9,Domain 9 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 16.--17. "D8,Domain 8 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 14.--15. "D7,Domain 7 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 12.--13. "D6,Domain 6 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 10.--11. "D5,Domain 5 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 8.--9. "D4,Domain 4 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 6.--7. "D3,Domain 3 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 4.--5. "D2,Domain 2 access permission" "No access,Client,Reserved,Manager" newline bitfld.quad 0x00 2.--3. "D1,Domain 1 access permission" "No access,Client,Reserved,Manager" bitfld.quad 0x00 0.--1. "D0,Domain 0 access permission" "No access,Client,Reserved,Manager" tree.end tree "Virtualization Extensions" group.quad spr:0x34111++0x00 line.quad 0x00 "MDCR_EL2,Monitor Debug Configuration Register" bitfld.quad 0x00 17. "HPMD,Hypervisor performance monitors disable" "No,Yes" newline bitfld.quad 0x00 14. "TPMS,Trap Performance Monitor Sampling" "No trap,Trap" newline bitfld.quad 0x00 11. "TDRA,Trap valid EL1 and EL0 access to debug ROM address registers to EL2" "No trap,Trap" bitfld.quad 0x00 10. "TDOSA,Trap valid accesses to OS-related debug registers to EL2" "No trap,Trap" bitfld.quad 0x00 9. "TDA,Trap valid Non-secure accesses to Debug registers to EL2" "No trap,Trap" newline bitfld.quad 0x00 8. "TDE,Route debug exceptions from Non-secure EL1 and EL0 to EL2" "Disabled,Enabled" bitfld.quad 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled" bitfld.quad 0x00 6. "TPM,Trap Non-secure EL0 and EL1 accesses to Performance Monitors registers that are not UNALLOCATED to EL2" "No trap,Trap" newline bitfld.quad 0x00 5. "TPMCR,Trap Non-secure EL0 and EL1 accesses to PMCR_EL0 to EL2" "No trap,Trap" bitfld.quad 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters accessible from non-secure EL0/EL1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad spr:0x36131++0x00 line.quad 0x00 "MDCR_EL3,Monitor Debug Configuration Register" bitfld.quad 0x00 21. "EPMAD,External debugger to Performance Monitor registers access disable" "No,Yes" bitfld.quad 0x00 20. "EDAD,External debugger access to breakpoint and watchpoint registers disabled" "No,Yes" bitfld.quad 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled" newline bitfld.quad 0x00 16. "SDD,Secure self-hosted invasive debug disable" "No,Yes" newline bitfld.quad 0x00 14.--15. "SPD32,AArch32 secure privileged debug" "Legacy mode,Reserved,Disabled,Enabled" bitfld.quad 0x00 10. "TDOSA,Trap valid accesses to OS-related debug registers to EL3" "No trap,Trap" bitfld.quad 0x00 9. "TDA,Trap valid Non-secure accesses to Debug registers to EL3" "No trap,Trap" newline bitfld.quad 0x00 6. "TPM,Trap Non-secure EL0/EL1/EL2 accesses to Performance Monitors registers that are not UNALLOCATED or trapped to a lower exception level to EL3" "No trap,Trap" rgroup.quad spr:0x30012++0x00 line.quad 0x00 "ID_DFR0_EL1,Debug Feature Register" bitfld.quad 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,Reserved,Supported/16-bit evtCount,?..." bitfld.quad 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..." bitfld.quad 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..." bitfld.quad 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..." bitfld.quad 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..." tree.end tree "Cache Control and Configuration" rgroup.quad spr:0x30F00++0x00 line.quad 0x00 "CPUCFR_EL1, CPU Configuration Register (EL1)" bitfld.quad 0x00 2. "SCU,Indicates whether the SCU is present or not" "Present,?..." bitfld.quad 0x00 0.--1. "ECC,Indicates whether ECC is present or not" "Not present,Present,?..." if (CORENAME()=="CORTEXA75") group.quad spr:0x30F27++0x00 line.quad 0x00 "CPUPWRCTLR_EL1,Power Control Register (EL1)" bitfld.quad 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.quad 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.quad 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested" elif (CORENAME()=="CORTEXA55") group.quad spr:0x30F27++0x00 line.quad 0x00 "CPUPWRCTLR_EL1,Power Control Register (EL1)" bitfld.quad 0x00 10.--12. "SIMD_RET_CTRL,Advanced SIMD and floating-point retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.quad 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.quad 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" newline bitfld.quad 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested" endif rgroup.quad spr:0x33001++0x00 line.quad 0x00 "CTR_EL0,Cache Type Register" bitfld.quad 0x0 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.quad 0x0 14.--15. "VIPT,Instruction cache policy" "Reserved,Reserved,VIPT,?..." bitfld.quad 0x0 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." if (((per.q(spr:0x32000))&0xE)==(0x02||0x04)) group.quad spr:0x32000++0x00 line.quad 0x00 "CSSELR_EL1,Cache Size Selection Register" bitfld.quad 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..." bitfld.quad 0x00 0. "IND,Instruction/Not Data" "Data/Unified,?..." else group.quad spr:0x32000++0x00 line.quad 0x00 "CSSELR_EL1,Cache Size Selection Register" bitfld.quad 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..." bitfld.quad 0x00 0. "IND,Instruction/Not Data" "Data/Unified,Instruction" endif rgroup.quad spr:0x31000++0x00 line.quad 0x00 "CCSIDR_EL1,Cache Size and ID register" bitfld.quad 0x00 31. "WT,Indicates whether the selected cache level supports Write-Through" "Not Supported,?..." bitfld.quad 0x00 30. "WB,Indicates whether the selected cache level supports Write-Back" "Not Supported,Supported" bitfld.quad 0x00 29. "RA,Indicates whether the selected cache level supports read-allocation" "Not Supported,Supported" newline bitfld.quad 0x00 28. "WA,Indicates whether the selected cache level supports write-allocation" "Not Supported,Supported" hexmask.quad.word 0x00 13.--27. 1. 1. "SETS,Number of Sets" hexmask.quad.word 0x00 3.--12. 1. 1. "ASSOC,Associativity" newline bitfld.quad 0x00 0.--2. "LSIZE,Line Size" "Reserved,Reserved,64 bytes,?..." if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x31001++0x00 line.quad 0x00 "CLIDR_EL1,Cache Level ID register" bitfld.quad 0x00 30.--32. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest,?..." bitfld.quad 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..." bitfld.quad 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,No L3 cache,L3 cache,?..." newline bitfld.quad 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "No cache,?..." bitfld.quad 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,Unified,?..." bitfld.quad 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..." newline bitfld.quad 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate,?..." elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x31001++0x00 line.quad 0x00 "CLIDR_EL1,Cache Level ID register" bitfld.quad 0x00 30.--32. "ICB,Inner cache boundary" "Reserved,L1 highest,L2 highest,L3 highest,?..." bitfld.quad 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..." bitfld.quad 0x00 24.--26. "LOC,Level of Coherency" "Reserved,No cache,L2 or L3 cache,L2 and L3 cache,?..." newline bitfld.quad 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..." bitfld.quad 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,L2 and L3 cache,?..." bitfld.quad 0x00 3.--5. "CTYPE2,Cache type for levels 2" "No cache,Reserved,Reserved,Reserved,Unified,?..." newline bitfld.quad 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate,?..." endif tree "Level 1 memory system" rgroup.quad spr:0x36F00++0x00 line.quad 0x00 "CDBGDR0_EL3,Data Register 0" rgroup.quad spr:0x36F01++0x00 line.quad 0x00 "CDBGDR1_EL3,Data Register 1" rgroup.quad spr:0x36F02++0x00 line.quad 0x00 "CDBGDR2_EL3,Data Register 2" wgroup.quad spr:0x16F20++0x00 line.quad 0x00 "CDBGDCT_EL3,Data Cache Tag Read Operation Register" wgroup.quad spr:0x16F21++0x00 line.quad 0x00 "CDBGICT_EL3,Instruction Cache Tag Read Operation Register" wgroup.quad spr:0x16F22++0x00 line.quad 0x00 "CDBGTT_EL3,TLB Tag Read Operation Register" wgroup.quad spr:0x16F40++0x00 line.quad 0x00 "CDBGDCD_EL3,Data Cache Data Read Operation Register" wgroup.quad spr:0x16F41++0x00 line.quad 0x00 "CDBGICD_EL3,Instruction Cache Data Read Operation Register" wgroup.quad spr:0x16F42++0x00 line.quad 0x00 "CDBGTD_EL3,TLB Data Read Operation Register" tree.end tree.end tree "System Performance Monitor" group.quad spr:0x339C0++0x00 line.quad 0x00 "PMCR_EL0,Performance Monitors Control Register" hexmask.quad.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.quad.byte 0x00 16.--23. 1. "IDCODE,Identification code" bitfld.quad 0x00 11.--15. "N,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 6. "LC,Long cycle counter enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "DP,Disable CCNT when event counting prohibited" "No,Yes" bitfld.quad 0x00 4. "X,Export of events Enable" "Disabled,Enabled" bitfld.quad 0x00 3. "D,Clock Divider" "Every cycle,64th cycle" bitfld.quad 0x00 2. "C,Clock Counter Reset" "No reset,Reset" newline bitfld.quad 0x00 1. "P,Performance Counter Reset" "No reset,Reset" bitfld.quad 0x00 0. "E,All Counters Enable" "Disabled,Enabled" group.quad spr:0x339C1++0x00 line.quad 0x00 "PMCNTENSET_EL0,Count Enable Set register" bitfld.quad 0x00 31. "C,Enables the cycle counter register" "Disabled,Enabled" newline bitfld.quad 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled" bitfld.quad 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled" bitfld.quad 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled" newline bitfld.quad 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled" bitfld.quad 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled" group.quad spr:0x339C2++0x00 line.quad 0x00 "PMCNTENCLR_EL0,Count Enable Clear register" bitfld.quad 0x00 31. "C,Disables the cycle counter register" "Disabled,Enabled" newline bitfld.quad 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow" bitfld.quad 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow" bitfld.quad 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow" newline bitfld.quad 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow" bitfld.quad 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow" bitfld.quad 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow" group.quad spr:0x339C3++0x00 line.quad 0x00 "PMOVSCLR_EL0,Overflow Status Flags Clear register" eventfld.quad 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow" newline eventfld.quad 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow" eventfld.quad 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow" eventfld.quad 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow" newline eventfld.quad 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow" eventfld.quad 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow" eventfld.quad 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow" wgroup.quad spr:0x339C4++0x00 line.quad 0x00 "PMSWINC_EL0,Software Increment register" bitfld.quad 0x00 5. "P5,PMN5 software increment" "Disabled,Enabled" bitfld.quad 0x00 4. "P4,PMN4 software increment" "Disabled,Enabled" bitfld.quad 0x00 3. "P3,PMN3 software increment" "Disabled,Enabled" newline bitfld.quad 0x00 2. "P2,PMN2 software increment" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,PMN1 software increment" "Disabled,Enabled" bitfld.quad 0x00 0. "P0,PMN0 software increment" "Disabled,Enabled" group.quad spr:0x339C5++0x00 line.quad 0x00 "PMSELR_EL0,Event Counter Selection Register" bitfld.quad 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline tree.open "Common Event Identification Registers" rgroup.quad spr:0x339C6++0x00 line.quad 0x00 "PMCEID0_EL0,Common Event Identification register" bitfld.quad 0x00 31. "L1D_CACHE_ALLOCATE,Level 1 data cache allocate" "Not implemented,Implemented" bitfld.quad 0x00 30. "CHAIN,Chain" "Not implemented,Implemented" bitfld.quad 0x00 29. "BUS_CYCLES,Bus cycle" "Not implemented,Implemented" newline bitfld.quad 0x00 28. "TTBR_WRITE_RETIRED,TTBR write retired" "Not implemented,Implemented" bitfld.quad 0x00 27. "INST_SPEC,Instruction speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 26. "MEMORY_ERROR,Local memory error" "Not implemented,Implemented" newline bitfld.quad 0x00 25. "BUS_ACCESS,Bus access" "Not implemented,Implemented" bitfld.quad 0x00 24. "L2D_CACHE_WB,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.quad 0x00 23. "L2D_CACHE_REFILL,Level 2 data cache refill" "Not implemented,Implemented" newline bitfld.quad 0x00 22. "L2D_CACHE,Level 2 data cache access" "Not implemented,Implemented" bitfld.quad 0x00 21. "L1D_CACHE_WB,Level 1 data cache write-back" "Not implemented,Implemented" bitfld.quad 0x00 20. "L1I_CACHE,Level 1 instruction cache access" "Not implemented,Implemented" newline bitfld.quad 0x00 19. "MEM_ACCESS,Data memory access" "Not implemented,Implemented" bitfld.quad 0x00 18. "BR_PRED,Predictable branch speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 17. "CPU_CYCLES,CPU Cycle" "Not implemented,Implemented" newline bitfld.quad 0x00 16. "BR_MIS_PRED,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 15. "UNALIGNED_LDST_RETIRED,UNALIGNED_LDST_RETIRED" "Not implemented,Implemented" bitfld.quad 0x00 14. "BR_RETURN_RETIRED,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented" newline bitfld.quad 0x00 13. "BR_IMMED_RETIRED,Instruction architecturally executed immediate branch" "Not implemented,Implemented" bitfld.quad 0x00 12. "PC_WRITE_RETIRED,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented" bitfld.quad 0x00 11. "CID_WRITE_RETIRED,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented" newline bitfld.quad 0x00 10. "EXC_RETURN,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented" bitfld.quad 0x00 9. "EXC_TAKEN,Exception taken" "Not implemented,Implemented" bitfld.quad 0x00 8. "INST_RETIRED,Instruction architecturally executed" "Not implemented,Implemented" newline bitfld.quad 0x00 7. "ST_RETIRED,Instruction architecturally executed condition check pass store" "Not implemented,Implemented" bitfld.quad 0x00 6. "LD_RETIRED,Instruction architecturally executed condition check pass load" "Not implemented,Implemented" bitfld.quad 0x00 5. "L1D_TLB_REFILL,Level 1 data TLB refill" "Not implemented,Implemented" newline bitfld.quad 0x00 4. "L1D_CACHE,Level 1 data cache access" "Not implemented,Implemented" bitfld.quad 0x00 3. "L1D_CACHE_REFILL,Level 1 data cache refill" "Not implemented,Implemented" bitfld.quad 0x00 2. "L1I_TLB_REFILL,Level 1 instruction TLB refill" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "L1I_CACHE_REFILL,Level 1 instruction cache refill" "Not implemented,Implemented" bitfld.quad 0x00 0. "SW_INCR,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented" if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x339C7++0x00 line.quad 0x00 "PMCEID1_EL0,Common Event Identification register" bitfld.quad 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented" bitfld.quad 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented" bitfld.quad 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented" newline bitfld.quad 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented" bitfld.quad 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented" bitfld.quad 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented" newline bitfld.quad 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented" bitfld.quad 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented" newline bitfld.quad 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented" bitfld.quad 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented" bitfld.quad 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented" bitfld.quad 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented" bitfld.quad 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented" bitfld.quad 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented" elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x339C7++0x00 line.quad 0x00 "PMCEID1_EL0,Common Event Identification register" bitfld.quad 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented" bitfld.quad 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented" bitfld.quad 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented" newline bitfld.quad 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented" bitfld.quad 0x00 17. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented" bitfld.quad 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented" newline bitfld.quad 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented" bitfld.quad 0x00 14. "L2I_TLB_REFILL,Attributable Level 2 instruction TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented" newline bitfld.quad 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Not implemented,Implemented" bitfld.quad 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented" bitfld.quad 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented" newline bitfld.quad 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented" bitfld.quad 0x00 8. "L2I_CACHE_REFILL,Attributable Level 2 instruction cache refill" "Not implemented,Implemented" bitfld.quad 0x00 7. "L2I_CACHE,Attributable Level 2 instruction cache access" "Not implemented,Implemented" newline bitfld.quad 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented" bitfld.quad 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented" bitfld.quad 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented" bitfld.quad 0x00 2. "BR_MIS_PRED_RETIRED,Instruction architecturally executed mispredicted branch" "Not implemented,Implemented" bitfld.quad 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented" newline bitfld.quad 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented" endif tree.end newline group.quad spr:0x339D0++0x00 line.quad 0x00 "PMCCNTR_EL0,Performance Monitors Cycle Counter" group.quad spr:0x339D1++0x00 line.quad 0x00 "PMXEVTYPER_EL0,Performance Monitors Selected Event Type Register" group.quad spr:0x339D2++0x00 line.quad 0x00 "PMXEVCNTR_EL0,Selected Event Counter Register" group.quad spr:0x339E0++0x00 line.quad 0x00 "PMUSERENR_EL0,User Enable Register" bitfld.quad 0x00 3. "ER,Event counter read enable" "Disabled,Enabled" bitfld.quad 0x00 2. "CR,Cycle counter read enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled" bitfld.quad 0x00 0. "EN,EL0 access enable bit" "Disabled,Enabled" group.quad spr:0x309E1++0x00 line.quad 0x00 "PMINTENSET_EL1,Interrupt Enable Set register" bitfld.quad 0x00 31. "C,CCNT Overflow Interrupt Request Enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.quad spr:0x309E2++0x00 line.quad 0x00 "PMINTENCLR_EL1,Interrupt Enable Clear register" eventfld.quad 0x00 31. "C,CCNT Overflow Interrupt Request Enable" "Disabled,Enabled" newline eventfld.quad 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" eventfld.quad 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" eventfld.quad 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" newline eventfld.quad 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" eventfld.quad 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" eventfld.quad 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.quad spr:0x339E3++0x00 line.quad 0x00 "PMOVSSET_EL0,Overflow Status Flags Set register" bitfld.quad 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow" newline bitfld.quad 0x00 5. "P5,PMN5 Overflow" "No overflow,Overflow" bitfld.quad 0x00 4. "P4,PMN4 Overflow" "No overflow,Overflow" bitfld.quad 0x00 3. "P3,PMN3 Overflow" "No overflow,Overflow" newline bitfld.quad 0x00 2. "P2,PMN2 Overflow" "No overflow,Overflow" bitfld.quad 0x00 1. "P1,PMN1 Overflow" "No overflow,Overflow" bitfld.quad 0x00 0. "P0,PMN0 Overflow" "No overflow,Overflow" group.quad spr:(0x33E80+0x0)++0x00 line.quad 0x00 "PMEVCNTR0_EL0,Event Counter Register" group.quad spr:(0x33E80+0x1)++0x00 line.quad 0x00 "PMEVCNTR1_EL0,Event Counter Register" group.quad spr:(0x33E80+0x2)++0x00 line.quad 0x00 "PMEVCNTR2_EL0,Event Counter Register" group.quad spr:(0x33E80+0x3)++0x00 line.quad 0x00 "PMEVCNTR3_EL0,Event Counter Register" group.quad spr:(0x33E80+0x4)++0x00 line.quad 0x00 "PMEVCNTR4_EL0,Event Counter Register" group.quad spr:(0x33E80+0x5)++0x00 line.quad 0x00 "PMEVCNTR5_EL0,Event Counter Register" group.quad spr:(0x33EC0+0x0)++0x00 line.quad 0x00 "PMEVTYPER0_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:(0x33EC0+0x1)++0x00 line.quad 0x00 "PMEVTYPER1_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:(0x33EC0+0x2)++0x00 line.quad 0x00 "PMEVTYPER2_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:(0x33EC0+0x3)++0x00 line.quad 0x00 "PMEVTYPER3_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:(0x33EC0+0x4)++0x00 line.quad 0x00 "PMEVTYPER4_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:(0x33EC0+0x5)++0x00 line.quad 0x00 "PMEVTYPER5_EL0,Event Counter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" group.quad spr:0x33EF7++0x00 line.quad 0x00 "PMCCFILTR_EL0,Event Type and Cycle Counter Filter Register" bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled" bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled" bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled" newline bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled" bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number" tree.end tree "System Timer Registers" group.quad spr:0x33E00++0x00 line.quad 0x00 "CNTFRQ_EL0,Counter-timer Frequency register" rgroup.quad spr:0x33E01++0x00 line.quad 0x00 "CNTPCT_EL0,Counter-timer Physical Count register" rgroup.quad spr:0x33E02++0x00 line.quad 0x00 "CNTVCT_EL0,Counter-timer Virtual Count register" group.quad spr:0x34E03++0x00 line.quad 0x00 "CNTVOFF_EL2,Counter-timer Virtual Offset register" if (((per.q(spr:0x34110))&0x408000000)==0x408000000) group.quad spr:0x30E10++0x00 line.quad 0x00 "CNTKCTL_EL1,Counter-timer Kernel Control register" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled" else group.quad spr:0x30E10++0x00 line.quad 0x00 "CNTKCTL_EL1,Counter-timer Kernel Control register" bitfld.quad 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" newline bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled" bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" endif if (((per.q(spr:0x34110))&0x408000000)==0x408000000) group.quad spr:0x35E10++0x00 line.quad 0x00 "CNTKCTL_EL12,Counter-timer Kernel Control register" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled" else group.quad spr:0x35E10++0x00 line.quad 0x00 "CNTKCTL_EL12,Counter-timer Kernel Control register" bitfld.quad 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" newline bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled" bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" endif if (((per.q(spr:0x34110))&0x400000000)==0x000000000) group.quad spr:0x34E10++0x00 line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled" bitfld.quad 0x00 1. "EL1PCEN,Controls whether the physical timer registers are accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" newline bitfld.quad 0x00 0. "EL1PCTEN,Controls whether the physical counter, CNTPCT, is accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" elif (((per.q(spr:0x34110))&0x408000000)==0x400000000) group.quad spr:0x34E10++0x00 line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register" bitfld.quad 0x00 11. "EL1PTEN,Physical timer register accessing instructions are accessible from Non-secure EL1 and EL0" "Not accessible,Accessible" bitfld.quad 0x00 10. "EL1PCEN,Physical counter is accessible from Non-secure EL1 and EL0" "Not accessible,Accessible" newline bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled" else group.quad spr:0x34E10++0x00 line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register" bitfld.quad 0x00 9. "EL0PTEN,Physical timer register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible" bitfld.quad 0x00 8. "EL0VTEN,Virtual timer register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible" newline bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled" bitfld.quad 0x00 1. "EL0VCTEN,Virtual counter register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible" newline bitfld.quad 0x00 0. "EL0PCTEN,Physical counter is accessible from Non-secure EL0 modes" "Not accessible,Accessible" endif group.quad spr:0x33E20++0x00 line.quad 0x00 "CNTP_TVAL_EL0,Counter-timer Physical Timer TimerValue register" group.quad spr:0x35E20++0x00 line.quad 0x00 "CNTP_TVAL_EL02,Counter-timer Physical Timer TimerValue register" group.quad spr:0x33E21++0x00 line.quad 0x00 "CNTP_CTL_EL0,Counter-timer Physical Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x35E21++0x00 line.quad 0x00 "CNTP_CTL_EL02,Counter-timer Physical Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x33E22++0x00 line.quad 0x00 "CNTP_CVAL_EL0,Counter-timer Physical Timer CompareValue register" group.quad spr:0x35E22++0x00 line.quad 0x00 "CNTP_CVAL_EL02,Counter-timer Physical Timer CompareValue register" group.quad spr:0x33E30++0x00 line.quad 0x00 "CNTV_TVAL_EL0,Counter-timer Virtual Timer TimerValue register" group.quad spr:0x35E30++0x00 line.quad 0x00 "CNTV_TVAL_EL02,Counter-timer Virtual Timer TimerValue register" group.quad spr:0x33E31++0x00 line.quad 0x00 "CNTV_CTL_EL0,Counter-timer Virtual Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x35E31++0x00 line.quad 0x00 "CNTV_CTL_EL02,Counter-timer Virtual Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x33E32++0x00 line.quad 0x00 "CNTV_CVAL_EL0,Counter-timer Virtual Timer CompareValue register" group.quad spr:0x35E32++0x00 line.quad 0x00 "CNTV_CVAL_EL02,Counter-timer Virtual Timer CompareValue register" group.quad spr:0x34E20++0x00 line.quad 0x00 "CNTHP_TVAL_EL2,Counter-timer Hypervisor Physical Timer TimerValue register" group.quad spr:0x34E21++0x00 line.quad 0x00 "CNTHP_CTL_EL2,Counter-timer Hypervisor Physical Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x34E22++0x00 line.quad 0x00 "CNTHP_CVAL_EL2,Counter-timer Hypervisor Physical Timer CompareValue register" group.quad spr:0x34E30++0x00 line.quad 0x00 "CNTHV_TVAL_EL2,Counter-timer Hypervisor Virtual Timer Value register" group.quad spr:0x34E31++0x00 line.quad 0x00 "CNTHV_CTL_EL2,Counter-timer Hypervisor Virtual Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x34E32++0x00 line.quad 0x00 "CNTHV_CVAL_EL2,Counter-timer Hypervisor Virtual Timer CompareValue register" group.quad spr:0x37E20++0x00 line.quad 0x00 "CNTPS_TVAL_EL1,Counter-timer Physical Secure Timer TimerValue register" group.quad spr:0x37E21++0x00 line.quad 0x00 "CNTPS_CTL_EL1,Counter-timer Physical Secure Timer Control register" rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x37E22++0x00 line.quad 0x00 "CNTPS_CVAL_EL1,Counter-timer Physical Secure Timer CompareValue register" tree.end tree "Generic Interrupt Controller System Registers" tree "AArch64 Physical GIC CPU Interface System Registers" tree "Active Priorities Registers" group.quad spr:0x30C84++0x00 line.quad 0x00 "ICC_AP0R0_EL1,Active Priorities 0 Register 0" bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.quad spr:0x30C90++0x00 line.quad 0x00 "ICC_AP1R0_EL1,Active Priorities 1 Register 0" bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline if (((per.q(spr:0x30CB6))&0x10000000000)==0x00) wgroup.quad spr:0x30CB6++0x00 line.quad 0x00 "ICC_ASGI1R_EL1,Interrupt Controller Alias Software Generated Interrupt Group 1 Register" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated" else wgroup.quad spr:0x30CB6++0x00 line.quad 0x00 "ICC_ASGI1R_EL1,Interrupt Controller Alias Software Generated Interrupt Group 1 Register" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline endif group.quad spr:0x30C83++0x00 line.quad 0x00 "ICC_BPR0_EL1,Binary Point Register 0" bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" group.quad spr:0x30CC3++0x00 line.quad 0x00 "ICC_BPR1_EL1,Binary Point Register 1" bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" group.quad spr:0x30CC4++0x00 line.quad 0x00 "ICC_CTLR_EL1,Interrupt Control Registers for EL1" rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Reserved,Supported" rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid" rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported," bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..." rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..." newline bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" bitfld.quad 0x00 1. "EOIMODE,Indicates whether ICC_EOIR0 and ICC_EOIR1 provide both priority drop and interrupt deactivation functionality" "Both,Priority drop" bitfld.quad 0x00 0. "CBPR,Common Binary Point Register" "Separate,Common" group.quad spr:0x36CC4++0x00 line.quad 0x00 "ICC_CTLR_EL3,Interrupt Control Registers for EL3" rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Reserved,Supported" rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.quad 0x00 17. "NDS,Disable Security not supported" "Reserved,Not supported" rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid" rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported," newline bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..." rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..." bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" newline rbitfld.quad 0x00 5. "RM,Routing Modifier" "Normal," bitfld.quad 0x00 4. "EOIMODE_EL1NS,EOI mode for interrupts handledat non-secure EL1 and EL2" "0,1" bitfld.quad 0x00 3. "EOIMODE_EL1S,EOI mode for interrupts handled at secure EL1" "0,1" newline bitfld.quad 0x00 2. "EOIMODE_EL3,EOI mode for interrupts handled at EL3" "0,1" bitfld.quad 0x00 1. "CBPR_EL1NS,Non-secure accesses to GICC_BPR allowed." "Not allowed,Allowed" bitfld.quad 0x00 0. "CBPR_EL1S,Secure EL1 accesses to ICC_BPR1 allowed" "Not allowed,Allowed" wgroup.quad spr:0x30CB1++0x00 line.quad 0x00 "ICC_DIR_EL1,Deactivate Interrupt Register" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated" wgroup.quad spr:0x30C81++0x00 line.quad 0x00 "ICC_EOIR0_EL1,End Of Interrupt Register 0" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0_EL1 access" wgroup.quad spr:0x30CC1++0x00 line.quad 0x00 "ICC_EOIR1_EL1,End Of Interrupt Register 1" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1_EL1 access" rgroup.quad spr:0x30C82++0x00 line.quad 0x00 "ICC_HPPIR0_EL1,Highest Priority Pending Interrupt Register 0" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.quad spr:0x30CC2++0x00 line.quad 0x00 "ICC_HPPIR1_EL1,Highest Priority Pending Interrupt Register 1" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" newline hgroup.quad spr:0x30C80++0x00 hide.long 0x00 "ICC_IAR0_EL1,Interrupt Acknowledge Register 0" in hgroup.quad spr:0x30CC0++0x00 hide.long 0x00 "ICC_IAR1_EL1,Interrupt Acknowledge Register 1" in newline group.quad spr:0x30CC6++0x00 line.quad 0x00 "ICC_IGRPEN0_EL1,Interrupt Group 0 Enable Register (EL1)" bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled" group.quad spr:0x30CC7++0x00 line.quad 0x00 "ICC_IGRPEN1_EL1,Interrupt Group 1 Enable Register (EL1)" bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled" group.quad spr:0x36CC7++0x00 line.quad 0x00 "ICC_IGRPEN1_EL3,Interrupt Group Enable Register 1 (EL3)" bitfld.quad 0x00 1. "ENABLEGRP1S,Enable Group 1 interrupts for the Secure state" "Disabled,Enabled" bitfld.quad 0x00 0. "ENABLEGRP1NS,Enable Group 1 interrupts for the Non-secure state" "Disabled,Enabled" group.quad spr:0x30460++0x00 line.quad 0x00 "ICC_PMR_EL1,Priority Mask Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface" rgroup.quad spr:0x30CB3++0x00 line.quad 0x00 "ICC_RPR_EL1,Running Priority Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface" if (((per.q(spr:0x30CB7))&0x10000000000)==0x00) wgroup.quad spr:0x30CB7++0x00 line.quad 0x00 "ICC_SGI0R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated." else wgroup.quad spr:0x30CB7++0x00 line.quad 0x00 "ICC_SGI0R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline endif if (((per.q(spr:0x30CB5))&0x10000000000)==0x00) wgroup.quad spr:0x30CB5++0x00 line.quad 0x00 "ICC_SGI1R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated" else wgroup.quad spr:0x30CB5++0x00 line.quad 0x00 "ICC_SGI1R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline endif group.quad spr:0x30CC5++0x00 line.quad 0x00 "ICC_SRE_EL1,System Register Enable Register for EL1" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" group.quad spr:0x34C95++0x00 line.quad 0x00 "ICC_SRE_EL2,System Register Enable Register for EL2" rbitfld.quad 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1" "Reserved,Enabled" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" group.quad spr:0x36CC5++0x00 line.quad 0x00 "ICC_SRE_EL3,System Register Enable Register for EL3" rbitfld.quad 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1 and ICC_SRE_EL2" "Reserved,Enabled" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" tree.end tree "AArch64 Virtual GIC CPU Interface System Registers" tree.open "Interrupt Controller Active Priorities Registers" group.quad spr:0x30C84++0x00 line.quad 0x00 "ICV_AP0R0_EL1,Active Priorities Group 0 Register 0 (EL1)" bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.quad spr:0x30C90++0x00 line.quad 0x00 "ICV_AP1R0_EL1,Active Priorities Group 1 Register 0 (EL1)" bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline group.quad spr:0x30C83++0x00 line.quad 0x00 "ICV_BPR0_EL1,Binary Point Register 0 (EL1)" bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" group.quad spr:0x30CC3++0x00 line.quad 0x00 "ICV_BPR1_EL1,Binary Point Register 1 (EL1)" bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" group.quad spr:0x30CC4++0x00 line.quad 0x00 "ICV_CTLR_EL1,Control Register (EL1)" rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid" rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported," rbitfld.quad 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..." newline rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..." bitfld.quad 0x00 1. "VEOIMODE,Indicates whether ICV_EOIR0 and ICV_EOIR1 provide both priority drop and interrupt deactivation functionality" "Both,Priority drop" bitfld.quad 0x00 0. "VCBPR,Common Binary Point Register" "Separate,Common" wgroup.quad spr:0x30CB1++0x00 line.quad 0x00 "ICV_DIR_EL1,Deactivate Interrupt Register" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the virtual interrupt to be deactivated" wgroup.quad spr:0x30C81++0x00 line.quad 0x00 "ICV_EOIR0_EL1,End Of Interrupt Register 0" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICV_IAR0_EL1 access" wgroup.quad spr:0x30CC1++0x00 line.quad 0x00 "ICV_EOIR1_EL1,End Of Interrupt Register 1" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICV_IAR1_EL1 access" rgroup.quad spr:0x30C82++0x00 line.quad 0x00 "ICV_HPPIR0_EL1,Highest Priority Pending Interrupt Register 0" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.quad spr:0x30CC2++0x00 line.quad 0x00 "ICV_HPPIR1_EL1,Highest Priority Pending Interrupt Register 1" hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.quad spr:0x30C80++0x00 line.quad 0x00 "ICV_IAR0_EL1,Interrupt Acknowledge Register 0" rgroup.quad spr:0x30CC0++0x00 line.quad 0x00 "ICV_IAR1_EL1,Interrupt Acknowledge Register 1" group.quad spr:0x30CC6++0x00 line.quad 0x00 "ICV_IGRPEN0_EL1,Interrupt Group 0 Enable register" bitfld.quad 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled" group.quad spr:0x30CC7++0x00 line.quad 0x00 "ICV_IGRPEN1_EL1,Interrupt Group 1 Enable register" bitfld.quad 0x00 0. "ENABLE,Enables Group 1 interrupts" "Disabled,Enabled" group.quad spr:0x30460++0x00 line.quad 0x00 "ICV_PMR_EL1,Priority Mask Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface" rgroup.quad spr:0x30CB3++0x00 line.quad 0x00 "ICV_RPR_EL1,Running Priority Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface" tree.end tree "AArch64 Virtual Interface Control System Registers" tree.open "Hypervisor Active Priorities Registers" group.quad spr:0x34C80++0x00 line.quad 0x00 "ICH_AP0R0_EL2,Hypervisor Active Priorities Group 0 Register 0" bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.quad spr:0x34C90++0x00 line.quad 0x00 "ICH_AP1R0_EL2,Hypervisor Active Priorities Group 1 Register 0" bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline rgroup.quad spr:0x34CB3++0x00 line.quad 0x00 "ICH_EISR_EL2,End of Interrupt Status Register" bitfld.quad 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List register ICH_LR3_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List register ICH_LR2_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List register ICH_LR1_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List register ICH_LR0_EL2" "No interrupt,Interrupt" rgroup.quad spr:0x34CB5++0x00 line.quad 0x00 "ICH_ELRSR_EL2,Empty List Register Status Register" bitfld.quad 0x00 3. "STATUS3,Status bit for List register ICH_LR3_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 2. "STATUS2,Status bit for List register ICH_LR2_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 1. "STATUS1,Status bit for List register ICH_LR1_EL2" "No interrupt,Interrupt" bitfld.quad 0x00 0. "STATUS0,Status bit for List register ICH_LR0_EL2" "No interrupt,Interrupt" group.quad spr:0x34CB0++0x00 line.quad 0x00 "ICH_HCR_EL2,Hypervisor Control Register" bitfld.quad 0x00 27.--31. "EOICOUNT,Incremented whenever a successful write to a virtual EOIR or DIR register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR_EL1 and ICV_DIR" "No trap,Trap" bitfld.quad 0x00 13. "TSEI,Trap all locally generated SEIs" "No trap," newline bitfld.quad 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* and ICV* System registers for Group 1 interrupts to EL2" "No trap,Trap" bitfld.quad 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* and ICV* System registers for Group 0 interrupts to EL2" "No trap,Trap" bitfld.quad 0x00 10. "TC,Trap all Non-secure EL1 accesses to System registers that are common to Group 0 and Group 1 to EL2" "No trap,Trap" newline bitfld.quad 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled" if (((per.q(spr:0x34CC0))&0x2000000000000000)==0x0) group.quad spr:0x34CC0++0x00 line.quad 0x00 "ICH_LR0_EL2,List Register 0" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" else group.quad spr:0x34CC0++0x00 line.quad 0x00 "ICH_LR0_EL2,List Register 0" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:0x34CC1))&0x2000000000000000)==0x0) group.quad spr:0x34CC1++0x00 line.quad 0x00 "ICH_LR1_EL2,List Register 1" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" else group.quad spr:0x34CC1++0x00 line.quad 0x00 "ICH_LR1_EL2,List Register 1" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:0x34CC2))&0x2000000000000000)==0x0) group.quad spr:0x34CC2++0x00 line.quad 0x00 "ICH_LR2_EL2,List Register 2" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" else group.quad spr:0x34CC2++0x00 line.quad 0x00 "ICH_LR2_EL2,List Register 2" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:0x34CC3))&0x2000000000000000)==0x0) group.quad spr:0x34CC3++0x00 line.quad 0x00 "ICH_LR3_EL2,List Register 3" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" else group.quad spr:0x34CC3++0x00 line.quad 0x00 "ICH_LR3_EL2,List Register 3" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" newline hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt" endif rgroup.quad spr:0x34CB2++0x00 line.quad 0x00 "ICH_MISR_EL2,Maintenance Interrupt State Register" bitfld.quad 0x00 7. "VGRP1D,vPE Group 1 Disabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 6. "VGRP1E,vPE Group 1 Enabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 5. "VGRP0D,vPE Group 0 Disabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 4. "VGRP0E,vPE Group 0 Enabled maintenance interrupt assertion" "Not asserted,Asserted" newline bitfld.quad 0x00 3. "NP,No Pending maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 2. "LRENP,List Register Entry Not Present maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 1. "U,Underflow maintenance interrupt assertion" "Not asserted,Asserted" bitfld.quad 0x00 0. "EOI,End of Interrupt maintenance interrupt assertion" "Not asserted,Asserted" group.quad spr:0x34CB7++0x00 line.quad 0x00 "ICH_VMCR_EL2,Virtual Machine Control Register" hexmask.quad.byte 0x00 24.--31. 1. "VPMR,Virtual Priority Mask" bitfld.quad 0x00 21.--23. "VBPR0,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" bitfld.quad 0x00 18.--20. "VBPR1,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" bitfld.quad 0x00 9. "VEOIM,Virtual EOI mode. Controls whether a write to an End of Interrupt register also deactivates the virtual interrupt" "Both,Priority drop" newline bitfld.quad 0x00 4. "VCBPR,Decides whether both interrupt groups are controlled by ICV_BPR0_EL1" "Separate,Both" bitfld.quad 0x00 3. "VFIQEN,Virtual FIQ enable" "Reserved,Enabled" bitfld.quad 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled" bitfld.quad 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled" rgroup.quad spr:0x34CB1++0x00 line.quad 0x00 "ICH_VTR_EL2,VGIC Type Register" bitfld.quad 0x00 29.--31. "PRIBITS,The number of virtual priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..." bitfld.quad 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..." bitfld.quad 0x00 23.--25. "IDBITS,The number of virtual interrupt identifier bits supported" "16 bits,?..." bitfld.quad 0x00 22. "SEIS,SEI Support" "Not supported," newline bitfld.quad 0x00 21. "A3V,Affinity 3 Valid" "Reserved,Valid" bitfld.quad 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported," bitfld.quad 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Reserved,Supported" bitfld.quad 0x00 0.--4. "LISTREGS,The number of implemented List registers" "Reserved,Reserved,Reserved,4,?..." tree.end tree.end tree "Debug Registers" rgroup.quad spr:0x23010++0x00 line.quad 0x00 "MDCCSR_EL0,Debug Comms Channel Status Register" bitfld.quad 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.quad 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" group.quad spr:0x20020++0x00 line.quad 0x00 "MDCCINT_EL1,Debug Comms Channel Interrupt Enable register" bitfld.quad 0x00 30. "RX,DCC interrupt enable controls" "Disabled,Enabled" bitfld.quad 0x00 29. "TX,DCC interrupt enable controls" "Disabled,Enabled" group.quad spr:0x23040++0x00 line.quad 0x00 "DBGDTR_EL0,Half Duplex Data Transfer Register" rgroup.quad spr:0x23050++0x00 line.quad 0x00 "DBGDTRRX_EL0,Full Duplex Receive Data Transfer Register" wgroup.quad spr:0x23050++0x00 line.quad 0x00 "DBGDTRTX_EL0,Full Duplex Transmit Data Transfer Register" group.quad spr:0x24070++0x00 line.quad 0x00 "DBGVCR32_EL2,Vector Catch Register" bitfld.quad 0x00 31. "NSF,FIQ vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 30. "NSI,IRQ vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 28. "NSD,Data Abort vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 27. "NSP,Prefetch Abort vector catch enable in Non-secure state" "Disabled,Enabled" newline bitfld.quad 0x00 26. "NSS,Supervisor Call (SVC) vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 25. "NSU,Undefined Instruction vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 7. "SF,FIQ vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 6. "SI,IRQ vector catch enable in Secure state" "Disabled,Enabled" newline bitfld.quad 0x00 4. "SD,Data Abort vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 3. "SP,Prefetch Abort vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 2. "SS,Supervisor Call (SVC) vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 1. "SU,Undefined Instruction vector catch enable in Secure state" "Disabled,Enabled" group.quad spr:0x20002++0x00 line.quad 0x00 "OSDTRRX_EL1,OS Lock Data Transfer Register" if (((per.q(spr:0x20114)&0x02)==0x00)) group.quad spr:0x20022++0x00 line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register" rbitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full" rbitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full" rbitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High" rbitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High" newline rbitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTDIS" "0,1,2,3" rbitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High" bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled" rbitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High" newline bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled" bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "No trap,Trap" rbitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High" bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled" else group.quad spr:0x20022++0x00 line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register" bitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full" bitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full" bitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High" bitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High" newline bitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTDIS" "0,1,2,3" bitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High" bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled" bitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High" newline bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled" bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "No trap,Trap" bitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High" bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled" endif group.quad spr:0x20032++0x00 line.quad 0x00 "OSDTRTX_EL1,OS Lock Data Transfer Register" group.quad spr:0x20062++0x00 line.quad 0x00 "OSECCR_EL1,OS Lock Exception Catch Control Register" bitfld.quad 0x00 7. 15. "NS[3],Coarse-grained Non-secure exception catch/return bit NSE[3] and NSR[3]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 6. 14. "NS[2],Coarse-grained Non-secure exception catch/return bit NSE[2] and NSR[2]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 5. 13. "NS[1],Coarse-grained Non-secure exception catch/return bit NSE[1] and NSR[1]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 4. 12. "NS[0],Coarse-grained Non-secure exception catch/return bit NSE[0] and NSR[0]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" newline bitfld.quad 0x00 3. 11. "S[3],Coarse-grained Secure exception catch/return bit SE[3] and SR[3]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 2. 10. "S[2],Coarse-grained Secure exception catch/return bit SE[2] and SR[2]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 1. 9. "S[1],Coarse-grained Secure exception catch/return bit SE[1] and SR[1]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" bitfld.quad 0x00 0. 8. "S[0],Coarse-grained Secure exception catch/return bit SE[0] and SR[0]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action" rgroup.quad spr:0x20100++0x00 line.quad 0x00 "MDRAR_EL1,Debug ROM Address Register" wgroup.quad spr:0x20104++0x00 line.quad 0x00 "OSLAR_EL1,OS Lock Access Register" bitfld.quad 0x00 0. "OSLK,OS lock" "Unlock,Lock" rgroup.quad spr:0x20114++0x00 line.quad 0x00 "OSLSR_EL1,OS Lock Status Register" bitfld.quad 0x00 2. "NTT,Not 32-bit access" "Low,High" bitfld.quad 0x00 1. "OSLK,OS lock status" "Not locked,Locked" bitfld.quad 0x00 0. 3. "OSLM,OS lock model implemented field" "Reserved,Reserved,Implemented,?..." group.quad spr:0x20134++0x00 line.quad 0x00 "OSDLR_EL1,OS Double-lock Register" bitfld.quad 0x00 0. "DLK,OS double-lock control" "Not locked,Locked" group.quad spr:0x20144++0x00 line.quad 0x00 "DBGPRCR_EL1,Debug Power/Reset Control Register" bitfld.quad 0x00 0. "CORENPDRQ,Core no powerdown request" "Powered down,Emulated" group.quad spr:0x20786++0x00 line.quad 0x00 "DBGCLAIMSET_EL1,Claim Tag register Set" bitfld.quad 0x0 7. "CT7,Claim Tag 7 Set" "Not set,Set" bitfld.quad 0x0 6. "CT6,Claim Tag 6 Set" "Not set,Set" bitfld.quad 0x0 5. "CT5,Claim Tag 5 Set" "Not set,Set" bitfld.quad 0x0 4. "CT4,Claim Tag 4 Set" "Not set,Set" newline bitfld.quad 0x0 3. "CT3,Claim Tag 3 Set" "Not set,Set" bitfld.quad 0x0 2. "CT2,Claim Tag 2 Set" "Not set,Set" bitfld.quad 0x0 1. "CT1,Claim Tag 1 Set" "Not set,Set" bitfld.quad 0x0 0. "CT0,Claim Tag 0 Set" "Not set,Set" group.quad spr:0x20796++0x00 line.quad 0x00 "DBGCLAIMCLR_EL1,Claim Tag register Clear" bitfld.quad 0x0 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared" bitfld.quad 0x0 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared" bitfld.quad 0x0 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared" bitfld.quad 0x0 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared" newline bitfld.quad 0x0 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared" bitfld.quad 0x0 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared" bitfld.quad 0x0 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared" bitfld.quad 0x0 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared" rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" if (((per.q(spr:0x30400))&0x10)==0x10) group.quad spr:0x30400++0x00 line.quad 0x00 "SPSR_EL1,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" newline bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" newline bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" newline bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System" else group.quad spr:0x30400++0x00 line.quad 0x00 "SPSR_EL1,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" newline bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" newline bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..." endif if (((per.q(spr:0x34400))&0x10)==0x10) group.quad spr:0x34400++0x00 line.quad 0x00 "SPSR_EL2,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" newline bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" newline bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" newline bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System" else group.quad spr:0x34400++0x00 line.quad 0x00 "SPSR_EL2,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" newline bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" newline bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..." endif if (((per.q(spr:0x36400))&0x10)==0x10) group.quad spr:0x36400++0x00 line.quad 0x00 "SPSR_EL3,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" newline bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" newline bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" newline bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System" else group.quad spr:0x36400++0x00 line.quad 0x00 "SPSR_EL3,Saved Program Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" newline bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" newline bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..." endif if (((per.q(spr:0x33450))&0x10)==0x10) group.quad spr:0x33450++0x00 line.quad 0x00 "DSPSR_EL0,Debug Saved Processor Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" newline bitfld.quad 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" newline bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" newline bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,Monitor,Abort,,,Hyp,Undefined,,,,System" else group.quad spr:0x33450++0x00 line.quad 0x00 "DSPSR_EL0,Debug Saved Processor Status Register" bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero" bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines" bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes" bitfld.quad 0x00 21. "SS,Software step" "0,1" newline bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1" bitfld.quad 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big" bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked" bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked" newline bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32" bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32" bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,,,EL2t,EL2h,,EL3t,EL3h,?..." endif tree.end tree "Activity Monitors Unit" if (CORENAME()=="CORTEXA75") group.quad spr:0x33F97++0x00 line.quad 0x00 "CPUAMCNTENCLR_EL0, Activity Monitors Count Enable Clear Register" bitfld.quad 0x00 4. "P4,AMEVCNTR4 disable bit [read/write]" "Disabled/No effect,Enabled/Disable" newline bitfld.quad 0x00 3. "P3,AMEVCNTR3 disable bit [read/write]" "Disabled/No effect,Enabled/Disable" bitfld.quad 0x00 2. "P2,AMEVCNTR2 disable bit [read/write]" "Disabled/No effect,Enabled/Disable" bitfld.quad 0x00 1. "P1,AMEVCNTR1 disable bit [read/write]" "Disabled/No effect,Enabled/Disable" bitfld.quad 0x00 0. "P0,AMEVCNTR0 disable bit [read/write]" "Disabled/No effect,Enabled/Disable" group.quad spr:0x33F96++0x00 line.quad 0x00 "CPUAMCNTENSET_EL0,Activity Monitors Count Enable Set Register" bitfld.quad 0x00 4. "P4,AMEVCNTR4 enable bit" "Disabled,Enabled" newline bitfld.quad 0x00 3. "P3,AMEVCNTR3 enable bit" "Disabled,Enabled" bitfld.quad 0x00 2. "P2,AMEVCNTR2 enable bit" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,AMEVCNTR1 enable bit" "Disabled,Enabled" bitfld.quad 0x00 0. "P0,AMEVCNTR0 enable bit" "Disabled,Enabled" group.quad spr:0x33FA6++0x00 line.quad 0x00 "CPUAMCFGR_EL0, Activity Monitors Configuration Register" bitfld.quad 0x00 8.--13. "SIZE,Size of counters" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" hexmask.quad.byte 0x00 0.--7. 1. "N,Number of activity counters implemented" group.quad spr:0x33FA7++0x00 line.quad 0x00 "CPUAMUSERENR_EL0, Activity Monitor EL0 Enable access" bitfld.quad 0x00 0. "EN,Traps EL0 accesses to the activity monitor registers to EL1" "Trapped,Not trapped" group.quad spr:0x33F90++0x00 line.quad 0x00 "CPUAMEVCNTR0_EL0,Activity Monitor Event Counter Register 0" group.quad spr:(0x33F90+0x10)++0x00 line.quad 0x00 "CPUAMEVTYPER0_EL0,Activity Monitor Event Type Register 0" hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT" group.quad spr:0x33F91++0x00 line.quad 0x00 "CPUAMEVCNTR1_EL0,Activity Monitor Event Counter Register 1" group.quad spr:(0x33F91+0x10)++0x00 line.quad 0x00 "CPUAMEVTYPER1_EL0,Activity Monitor Event Type Register 1" hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT" group.quad spr:0x33F92++0x00 line.quad 0x00 "CPUAMEVCNTR2_EL0,Activity Monitor Event Counter Register 2" group.quad spr:(0x33F92+0x10)++0x00 line.quad 0x00 "CPUAMEVTYPER2_EL0,Activity Monitor Event Type Register 2" hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT" group.quad spr:0x33F93++0x00 line.quad 0x00 "CPUAMEVCNTR3_EL0,Activity Monitor Event Counter Register 3" group.quad spr:(0x33F93+0x10)++0x00 line.quad 0x00 "CPUAMEVTYPER3_EL0,Activity Monitor Event Type Register 3" hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT" group.quad spr:0x33F94++0x00 line.quad 0x00 "CPUAMEVCNTR4_EL0,Activity Monitor Event Counter Register 4" group.quad spr:(0x33F94+0x10)++0x00 line.quad 0x00 "CPUAMEVTYPER4_EL0,Activity Monitor Event Type Register 4" hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT" endif tree.end tree "Breakpoint Registers" tree "Breakpoint 0" if ((((per.q(spr:0x20005+0x0))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x0))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x0))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x0))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x0))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x0))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x0))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x0)++0x00 line.quad 0x00 "DBGBCR0_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 1" if ((((per.q(spr:0x20005+0x10))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x10))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x10))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x10))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x10))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x10))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x10))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x10)++0x00 line.quad 0x00 "DBGBCR1_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 2" if ((((per.q(spr:0x20005+0x20))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x20))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x20))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x20))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x20))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x20))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x20))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x20)++0x00 line.quad 0x00 "DBGBCR2_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 3" if ((((per.q(spr:0x20005+0x30))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x30))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x30))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x30))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x30))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x30))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x30))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x30)++0x00 line.quad 0x00 "DBGBCR3_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 4" if ((((per.q(spr:0x20005+0x40))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x40))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x40))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x40))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x40))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x40))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x40))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x40)++0x00 line.quad 0x00 "DBGBCR4_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 5" if ((((per.q(spr:0x20005+0x50))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x50))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x500000))) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison" elif (((((per.q(spr:0x20005+0x50))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x50))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x50))&0xF00000)>=0xC00000))) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" elif ((((per.q(spr:0x20005+0x50))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x900000)) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" elif ((((per.q(spr:0x20005+0x50))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0xB00000)) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison" else rgroup.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" in endif group.quad spr:(0x20005+0x50)++0x00 line.quad 0x00 "DBGBCR5_EL1,Breakpoint Control Register" bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree.end tree "Watchpoint Registers" tree "Watchpoint 0" group.quad spr:(0x20006+0x0)++0x00 line.quad 0x00 "DBGWVR0_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x0)++0x00 line.quad 0x00 "DBGWCR0_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 1" group.quad spr:(0x20006+0x10)++0x00 line.quad 0x00 "DBGWVR1_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x10)++0x00 line.quad 0x00 "DBGWCR1_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 2" group.quad spr:(0x20006+0x20)++0x00 line.quad 0x00 "DBGWVR2_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x20)++0x00 line.quad 0x00 "DBGWCR2_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 3" group.quad spr:(0x20006+0x30)++0x00 line.quad 0x00 "DBGWVR3_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x30)++0x00 line.quad 0x00 "DBGWCR3_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree.end tree "LORegions Registers" group.quad spr:0x30A40++0x00 line.quad 0x00 "LORSA_EL1,LORegion Start Address" hexmask.quad.long 0x00 16.--47. 0x1 "SA,Start physical address bits[47:16]" bitfld.quad 0x00 0. "VALID,Indicates whether the LORegion Descriptor is enabled" "Not valid,Valid" group.quad spr:0x30A41++0x00 line.quad 0x00 "LOREA_EL1,LORegion End Address" hexmask.quad.long 0x00 16.--47. 0x1 "EA,End physical address bits[47:16]" group.quad spr:0x30A42++0x00 line.quad 0x00 "LORN_EL1,LORegion Number Register" bitfld.quad 0x00 0.--1. "NUM,Indicates the LORegion number" "0,1,2,3" group.quad spr:0x30A43++0x00 line.quad 0x00 "LORC_EL1,LORegion Control Register" bitfld.quad 0x00 2.--3. "DS,Descriptor Select" "0,1,2,3" bitfld.quad 0x00 0. "EN,Enable" "Disabled,Enabled" rgroup.quad spr:0x30A47++0x00 line.quad 0x00 "LORID_EL1,Limited Order Region Identification Register" hexmask.quad.byte 0x00 16.--23. 1. "LD,Number of LOR Descriptors supported by the implementation" hexmask.quad.byte 0x00 0.--7. 1. "LR,Number of LORegions supported by the implementation" tree.end tree "DynamIQ Shared Unit" tree "Cluster Control Registers" if (((per.q(spr:0x30F30))&0x2000)==0x00) rgroup.quad spr:0x30F30++0x00 line.long 0x00 "CLUSTERCFR_EL1,Cluster Configuration Register" bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..." bitfld.long 0x00 23. "L3_DATA_RAM_DELAY,L3 data RAM write delay" "Not delayed,Delayed" newline bitfld.long 0x00 17. "CRSP3,Core 3 register slice present" "Not present,Present" bitfld.long 0x00 16. "CRSP2,Core 2 register slice present" "Not present,Present" newline bitfld.long 0x00 15. "CRSP1,Core 1 register slice present" "Not present,Present" bitfld.long 0x00 14. "CRSP0,Core 0 register slice present" "Not present,Present" bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended" newline bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present" bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present" bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Single 256-bit CHI" newline bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC" bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM register slice present" "Not present,Present" bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles" newline bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles" bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present" bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..." else rgroup.quad spr:0x30F30++0x00 line.long 0x00 "CLUSTERCFR_EL1,Cluster Configuration Register" bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..." bitfld.long 0x00 23. "L3_DATA_RAM_DELAY,L3 data RAM write delay" "Not delayed,Delayed" newline bitfld.long 0x00 17. "CRSP3,Core 3 register slice present" "Not present,Present" bitfld.long 0x00 16. "CRSP2,Core 2 register slice present" "Not present,Present" newline bitfld.long 0x00 15. "CRSP1,Core 1 register slice present" "Not present,Present" bitfld.long 0x00 14. "CRSP0,Core 0 register slice present" "Not present,Present" bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended" newline bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present" bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present" bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Dual 256-bit CHI" newline bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC" bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM register slice present" "Not present,Present" bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles" newline bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles" bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present" bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..." endif rgroup.quad spr:0x30F31++0x00 line.long 0x00 "CLUSTERIDR_EL1,Cluster Main Revision ID" hexmask.long.byte 0x00 4.--7. 1. "VARIANT,Indicates the variant of the FCM" hexmask.long.byte 0x00 0.--3. 1. "REVISION,Indicates the minor revision number of the FCM" rgroup.quad spr:0x30F32++0x00 line.long 0x00 "CLUSTERREVIDR_EL1,Cluster ECO ID" group.quad spr:0x30F33++0x00 line.long 0x00 "CLUSTERACTLR_EL1,Cluster Auxiliary Control Register" if (((per.l(spr:0x30F30))&0x600)==(0x00||0x200)) group.quad spr:0x30F34++0x00 line.long 0x00 "CLUSTERECTLR_EL1,Cluster Extended Control Register" bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled" bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128" bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes" newline bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported" bitfld.long 0x00 3. "CTEC,Disables send evict transactions on the ACE/CHI master" "No,Yes" bitfld.long 0x00 2. "CFUCEC,Disables WriteEvict requests on the ACE/CHI master (Powering down part/All L3 cache)" "No,Yes" newline bitfld.long 0x00 0. "DNCWL,Disable the limit on the number of non-cacheable writes that are allowed on the ACE interface" "No,Yes" else group.quad spr:0x30F34++0x00 line.long 0x00 "CLUSTERECTLR_EL1,Cluster Extended Control Register" bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled" bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128" bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes" newline bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported" bitfld.long 0x00 3. "CTEC,Disables send evict transactions on the ACE/CHI master" "No,Yes" bitfld.long 0x00 2. "CFUCEC,Disables WriteEvict requests on the ACE/CHI master (Powering down part/All L3 cache)" "No,Yes" endif group.quad spr:0x30F35++0x00 line.long 0x00 "CLUSTERPWRCTLR_EL1,Cluster Power Control Register" bitfld.long 0x00 4.--7. "CPPR,Cache portion power request" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--2. "L3_DATA_RAM_RC,L3 data RAM retention control [Number of Architectural Timer ticks required before retention entry]" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" group.quad spr:0x30F36++0x00 line.long 0x00 "CLUSTERPWRDN_EL1,Cluster Power Down Register" bitfld.long 0x00 1. "MRR,Memory retention required" "Not required,Required" bitfld.long 0x00 0. "CPR,Cluster power required" "Not required,Required" rgroup.quad spr:0x30F37++0x00 line.long 0x00 "CLUSTERPWRSTAT_EL1,Cluster Power Status Register" bitfld.long 0x00 4.--7. "CPPS,This bits indicates which cache portions are currently powered up and available" "No ways,Ways 0-3,Reserved,Ways 0-7,Reserved,Reserved,Reserved,Ways 0-11,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Ways 0-15" bitfld.long 0x00 1. "RWPD,Enabled memory retention when all cores are powered down" "Disabled,Enabled" bitfld.long 0x00 0. "DCPD,Disabled cluster power down when all cores are powered down" "No,Yes" group.quad spr:0x30F40++0x00 line.long 0x00 "CLUSTERTHREADSID_EL1,Cluster Thread Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for current thread" "0,1,2,3,4,5,6,7" group.quad spr:0x30F41++0x00 line.long 0x00 "CLUSTERACPSID_EL1,Cluster ACP Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_ACP,Scheme ID for ACP transactions" "0,1,2,3,4,5,6,7" group.quad spr:0x30F42++0x00 line.long 0x00 "CLUSTERSTASHSID_EL1,Cluster Stash Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_SR,Scheme ID for stash requests received from the interconnect" "0,1,2,3,4,5,6,7" group.quad spr:0x30F43++0x00 line.long 0x00 "CLUSTERPARTCR_EL1,Cluster Partition Control Register" bitfld.long 0x00 31. "W3_ID7,Way group 3 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 30. "W2_ID7,Way group 2 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 29. "W1_ID7,Way group 1 is assigned as private to scheme ID 7" "Not assigned,Assigned" newline bitfld.long 0x00 28. "W0_ID7,Way group 0 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 27. "W3_ID6,Way group 3 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 26. "W2_ID6,Way group 2 is assigned as private to scheme ID 6" "Not assigned,Assigned" newline bitfld.long 0x00 25. "W1_ID6,Way group 1 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 24. "W0_ID6,Way group 0 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 23. "W3_ID5,Way group 3 is assigned as private to scheme ID 5" "Not assigned,Assigned" newline bitfld.long 0x00 22. "W2_ID5,Way group 2 is assigned as private to scheme ID 5" "Not assigned,Assigned" bitfld.long 0x00 21. "W1_ID5,Way group 1 is assigned as private to scheme ID 5" "Not assigned,Assigned" bitfld.long 0x00 20. "W0_ID5,Way group 0 is assigned as private to scheme ID 5" "Not assigned,Assigned" newline bitfld.long 0x00 19. "W3_ID4,Way group 3 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 18. "W2_ID4,Way group 2 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 17. "W1_ID4,Way group 1 is assigned as private to scheme ID 4" "Not assigned,Assigned" newline bitfld.long 0x00 16. "W0_ID4,Way group 0 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 15. "W3_ID3,Way group 3 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 14. "W2_ID3,Way group 2 is assigned as private to scheme ID 3" "Not assigned,Assigned" newline bitfld.long 0x00 13. "W1_ID3,Way group 1 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 12. "W0_ID3,Way group 0 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 11. "W3_ID2,Way group 3 is assigned as private to scheme ID 2" "Not assigned,Assigned" newline bitfld.long 0x00 10. "W2_ID2,Way group 2 is assigned as private to scheme ID 2" "Not assigned,Assigned" bitfld.long 0x00 9. "W1_ID2,Way group 1 is assigned as private to scheme ID 2" "Not assigned,Assigned" bitfld.long 0x00 8. "W0_ID2,Way group 0 is assigned as private to scheme ID 2" "Not assigned,Assigned" newline bitfld.long 0x00 7. "W3_ID1,Way group 3 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 6. "W2_ID1,Way group 2 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 5. "W1_ID1,Way group 1 is assigned as private to scheme ID 1" "Not assigned,Assigned" newline bitfld.long 0x00 4. "W0_ID1,Way group 0 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 3. "W3_ID0,Way group 3 is assigned as private to scheme ID 0" "Not assigned,Assigned" bitfld.long 0x00 2. "W2_ID0,Way group 2 is assigned as private to scheme ID 0" "Not assigned,Assigned" newline bitfld.long 0x00 1. "W1_ID0,Way group 1 is assigned as private to scheme ID 0" "Not assigned,Assigned" bitfld.long 0x00 0. "W0_ID0,Way group 0 is assigned as private to scheme ID 0" "Not assigned,Assigned" group.quad spr:0x30F44++0x00 line.long 0x00 "CLUSTERBUSQOS_EL1,Cluster Bus QoS Control Register" bitfld.long 0x00 28.--31. "CHI_BUS_QOS_SCHEME_ID7,Value driven on the CHI bus QoS field for scheme ID 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CHI_BUS_QOS_SCHEME_ID6,Value driven on the CHI bus QoS field for scheme ID 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "CHI_BUS_QOS_SCHEME_ID5,Value driven on the CHI bus QoS field for scheme ID 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "CHI_BUS_QOS_SCHEME_ID4,Value driven on the CHI bus QoS field for scheme ID 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "CHI_BUS_QOS_SCHEME_ID3,Value driven on the CHI bus QoS field for scheme ID 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CHI_BUS_QOS_SCHEME_ID2,Value driven on the CHI bus QoS field for scheme ID 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CHI_BUS_QOS_SCHEME_ID1,Value driven on the CHI bus QoS field for scheme ID 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CHI_BUS_QOS_SCHEME_ID0,Value driven on the CHI bus QoS field for scheme ID 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad spr:0x30F45++0x00 line.long 0x00 "CLUSTERL3HIT_EL1,Cluster L3 Hit Counter Register" group.quad spr:0x30F46++0x00 line.long 0x00 "CLUSTERL3MISS_EL1,Cluster L3 Miss Counter Register" group.quad spr:0x30F47++0x00 line.long 0x00 "CLUSTERTHREADSIDOVR_EL1,Cluster Thread Scheme ID Override Register" bitfld.long 0x00 16.--18. "SCHEME_ID_MASK,A bit set in the mask causes the matching bit to be taken from this register rather than from the CLUSTERTHREADSID_EL1 register" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for this thread if masked" "0,1,2,3,4,5,6,7" tree.end tree "Error System Registers" rgroup.quad spr:0x30530++0x00 line.long 0x00 "ERRIDR_EL1,Error ID Register" hexmask.long.word 0x00 0.--15. 1. "NUM,Number of records that can be accessed through the Error Record system registers" group.quad spr:0x30531++0x00 line.quad 0x00 "ERRSELR_EL1,Error Record Select Register" bitfld.quad 0x00 0. "SEL,Selects the record accessed through the ERX registers" "Record 0 - Core,Record 1 - DSU" if (((per.q(spr:0x30531))&0x01)==0x00) if (CORENAME()=="CORTEXA75") rgroup.quad spr:0x30540++0x00 line.quad 0x00 "ERXFR_EL1,Error Record Feature Register" bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Reserved,Implemented,?..." newline bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Implemented,?..." group.quad spr:0x30541++0x00 line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register" bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt" bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt" bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt" group.quad spr:0x30542++0x00 line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register" bitfld.long 0x00 31. "AV,Address valid" "Not valid,Valid" bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error reported" "No error,Error" bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,?..." newline bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..." newline abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity/TLB data,0x09=Parity/TLB tag,0x15=Deferred not supported" group.quad spr:0x30543++0x00 line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register" bitfld.quad 0x00 63. "NS,Non-secure attribute" "Secure,Non-secure" bitfld.quad 0x00 62. "SI,Secure incorrect" "Non secure,Secure" bitfld.quad 0x00 61. "AI,Address incomplete or incorrect" "Correct,Not correct" hexmask.quad 0x00 0.--43. 0x01 "PADDR,Physical address" group.quad spr:0x30550++0x00 line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0" hexmask.quad.byte 0x00 32.--47. 1. "CECO,Corrected error count other" bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" newline bitfld.quad 0x00 5. "TLBRAM,Indicates which TLB RAM block the error occurs" "RAM 0,RAM 1" bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "level 1,Level 2,?..." bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L1 data/Unified L2/TLB,L1 instruction" group.quad spr:0x30551++0x00 line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1" group.quad spr:0x30F22++0x00 line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register" group.quad spr:0x30F21++0x00 line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register" bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" newline bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded" bitfld.quad 0x00 6. "CE,Corrected error generation enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled" bitfld.quad 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled" rgroup.quad spr:0x30F20++0x00 line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register" bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Reserved,Supported" bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported" newline bitfld.quad 0x00 6. "CE,Corrected Error generation" "Reserved,Supported" bitfld.quad 0x00 5. "DE,Deferred error generation" "Reserved,Supported" newline bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..." bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,?..." newline bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..." bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Reserved,Supported" elif (CORENAME()=="CORTEXA55") rgroup.quad spr:0x30540++0x00 line.quad 0x00 "ERXFR_EL1,Error Record Feature Register" bitfld.quad 0x00 18.--19. "CEO,Corrected Error Overwrite" "Count CE,?..." bitfld.quad 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..." bitfld.quad 0x00 15. "RP,Repeat counter" "Reserved,Implemented" newline bitfld.quad 0x00 12.--14. "CEC,Corrected Error Counter" "Reserved,Reserved,8-bit,?..." bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 8.--9. "UE,In-band uncorrected error reporting" "Reserved,Implemented,?..." newline bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 2.--3. "DE,Defers errors" "Reserved,Enabled,?..." newline bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Implemented,?..." group.quad spr:0x30541++0x00 line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register" bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt" bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt" bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt" newline bitfld.quad 0x00 0. "ED,Error detection and correction enable" "Disabled,Enabled" group.quad spr:0x30542++0x00 line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register" bitfld.long 0x00 31. "AV,Address valid" "Not valid,?..." bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error reported" "No error,Error" bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,?..." newline bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..." newline abitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "0x00=No error/Other RAMs,0x01=Error/L3 snoop RAM" abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity/TLB data,0x09=Parity/TLB tag,0x15=Deferred not supported" rgroup.quad spr:0x30543++0x00 line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register" group.quad spr:0x30550++0x00 line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0" bitfld.quad 0x00 47. "OFO,Sticky overflow bit other" "No overflow,Overflow" hexmask.quad.byte 0x00 40.--46. 1. "CECO,Corrected error count other" bitfld.quad 0x00 39. "OFR,Sticky overflow bit repeat" "No overflow,Overflow" newline hexmask.quad.byte 0x00 32.--38. 1. "CECR,Repeat error count" bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" newline bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "level 1,Level 2,?..." bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L1 data/Unified L2/TLB,L1 instruction" group.quad spr:0x30551++0x00 line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1" group.quad spr:0x30F22++0x00 line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register" group.quad spr:0x30F21++0x00 line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register" bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" newline bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded" bitfld.quad 0x00 6. "CE,Corrected error generation enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled" bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled" rgroup.quad spr:0x30F20++0x00 line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register" bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Not supported,Supported" bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported" newline bitfld.quad 0x00 6. "CE,Corrected Error generation" "Not supported,Supported" bitfld.quad 0x00 5. "DE,Deferred error generation" "Not supported,Supported" newline bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..." bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,Supported" newline bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..." bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Not supported,Supported" endif else rgroup.quad spr:0x30540++0x00 line.quad 0x00 "ERXFR_EL1,Error Record Feature Register" bitfld.quad 0x00 18.--19. "CEO,Corrected Error Overwrite" "Count CE,?..." bitfld.quad 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..." bitfld.quad 0x00 15. "RP,Repeat counter" "Reserved,Implemented" newline bitfld.quad 0x00 12.--14. "CEC,Corrected Error Counter" "Reserved,Reserved,8-bit,?..." bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 8.--9. "UE,In-band uncorrected error reporting" "Reserved,Implemented,?..." newline bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Enabled,Implemented,?..." bitfld.quad 0x00 2.--3. "DE,Defers errors" "Reserved,Enabled,?..." newline bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Enabled,Implemented,?..." group.quad spr:0x30541++0x00 line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register" bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt" bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt" bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt" newline bitfld.quad 0x00 0. "ED,Error detection and correction enable" "Disabled,Enabled" group.quad spr:0x30542++0x00 line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register" bitfld.long 0x00 31. "AV,Address valid" "Not valid,?..." bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error reported" "No error,?..." bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,Earlier" newline bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..." newline bitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "No error/Other RAMs,Reserved,Error/L3 snoop RAM,?..." bitfld.long 0x00 0.--7. "SERR,Primary error code" "No error,Reserved,ECC/internal data buffer,Reserved,Reserved,Reserved,ECC/Cache data RAM,ECC/Cache tag/Dirty RAM,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Bus error,?..." rgroup.quad spr:0x30543++0x00 line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register" group.quad spr:0x30550++0x00 line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0" bitfld.quad 0x00 47. "OFO,Sticky overflow bit other" "No overflow,Overflow" hexmask.quad.byte 0x00 40.--46. 1. "CECO,Corrected error count other" bitfld.quad 0x00 39. "OFR,Sticky overflow bit repeat" "No overflow,Overflow" newline hexmask.quad.byte 0x00 32.--38. 1. "CECR,Repeat error count" bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" newline bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "Reserved,Reserved,Level 3,?..." bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L3 cache,?..." group.quad spr:0x30551++0x00 line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1" group.quad spr:0x30F22++0x00 line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register" group.quad spr:0x30F21++0x00 line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register" bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" newline bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded" bitfld.quad 0x00 6. "CE,Corrected error generation" "Not generated,Generated" newline bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Not generated,Generated" bitfld.quad 0x00 1. "UC,Signaled or recoverable error generation enable" "Not supported,Controllable" rgroup.quad spr:0x30F20++0x00 line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register" bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Not supported,Supported" bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported" newline bitfld.quad 0x00 6. "CE,Corrected Error generation" "Reserved,Supported" bitfld.quad 0x00 5. "DE,Deferred error generation" "Reserved,Supported" newline bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..." bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,?..." newline bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..." bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Reserved,Supported" endif tree.end tree "Cluster PMU Registers" group.quad spr:0x30F50++0x00 line.long 0x00 "CLUSTERPMCR_EL1,Cluster Performance Monitors Control Register (EL1)" hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code" bitfld.long 0x00 11.--15. "N,Number of counters implemented" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." newline bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset" bitfld.long 0x00 1. "P,Event Counter Reset" "No reset,Reset" newline bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled" group.quad spr:0x30F51++0x00 line.long 0x00 "CLUSTERPMCNTENSET_EL1,Cluster Count Enable Set Register (EL1)" bitfld.long 0x00 31. "C,Enables the cycle counter register [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" group.quad spr:0x30F52++0x00 line.long 0x00 "CLUSTERPMCNTENCLR_EL1,Cluster Count Enable Clear Register (EL1)" bitfld.long 0x00 31. "C,Disables the cycle counter register [Read/Write]" "Disabled/No effect,Enabled/Disable" newline bitfld.long 0x00 5. "P5,Event counter PMN 5 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 4. "P4,Event counter PMN 4 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 3. "P3,Event counter PMN 3 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" newline bitfld.long 0x00 2. "P2,Event counter PMN 2 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 1. "P1,Event counter PMN 1 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 0. "P0,Event counter PMN 0 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" group.quad spr:0x30F53++0x00 line.long 0x00 "CLUSTERPMOVSSET_EL1,Cluster Overflow Flag Status Set (EL1)" bitfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Set" newline bitfld.long 0x00 5. "P5,PMN5 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 4. "P4,PMN4 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 3. "P3,PMN3 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" newline bitfld.long 0x00 2. "P2,PMN2 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 1. "P1,PMN1 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 0. "P0,PMN0 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" group.quad spr:0x30F54++0x00 line.long 0x00 "CLUSTERPMOVSCLR_EL1,Cluster Overflow Flag Status Clear (EL1)" eventfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 5. "P5,PMN5 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 4. "P4,PMN4 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 3. "P3,PMN3 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 2. "P2,PMN2 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 1. "P1,PMN1 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 0. "P0,PMN0 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" group.quad spr:0x30F55++0x00 line.long 0x00 "CLUSTERPMSELR_EL1,Cluster Event Counter Selection Register (EL1)" bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad spr:0x30F56++0x00 line.long 0x00 "CLUSTERPMINTENSET_EL1,Cluster Interrupt Enable Set Register (EL1)" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" group.quad spr:0x30F57++0x00 line.long 0x00 "CLUSTERPMINTENCLR_EL1,Cluster Interrupt Enable Clear Register (EL1)" eventfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" if (((per.l(spr:0x30F55))&0x1F)<=0x05) if (((per.q(spr:0x30F61))&0x80000000)==0x00) group.quad spr:0x30F61++0x00 line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)" bitfld.long 0x00 31. "S,Count events in EL1 disable" "No,Yes" bitfld.long 0x00 29. "NS,Count events in non-secure EL2 disable" "No,Yes" newline hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number" else group.quad spr:0x30F61++0x00 line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)" bitfld.long 0x00 31. "S,Count events in EL1 disable" "No,Yes" bitfld.long 0x00 29. "NS,Count events in non-secure EL2 disable" "Yes,No" newline hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number" endif else rgroup.quad spr:0x30F61++0x00 line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)" endif group.quad spr:0x30F62++0x00 line.long 0x00 "CLUSTERPMXEVCNTR_EL1,Cluster Selected Event Counter Register (EL1)" tree.open "Common Event Identification Registers" rgroup.quad spr:0x30F64++0x00 line.long 0x00 "CLUSTERPMCEID0_EL1,Cluster Common Event Identification ID0 Register (EL1)" bitfld.long 0x00 30. "CHAIN,Chain" "Reserved,Implemented" bitfld.long 0x00 29. "BUS_CYCLES,Bus cycle" "Reserved,Implemented" bitfld.long 0x00 26. "MEMORY_ERROR,Local memory error" "Reserved,Implemented" newline bitfld.long 0x00 25. "BUS_ACCESS,Bus access" "Reserved,Implemented" bitfld.long 0x00 17. "CPU_CYCLES,CPU Cycle" "Reserved,Implemented" rgroup.quad spr:0x30F65++0x00 line.long 0x00 "CLUSTERPMCEID1_EL1,Cluster Common Event Identification ID1 Register (EL1)" bitfld.long 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Reserved,Implemented" bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Reserved,Implemented" bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Reserved,Implemented" newline bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Reserved,Implemented" tree.end newline group.quad spr:0x30F66++0x00 line.long 0x00 "CLUSTERPMCLAIMSET_EL1,Cluster Performance Monitor Claim Tag Set Register (EL1)" bitfld.long 0x00 3. "S[3],Set bit 3 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 2. "S[2],Set bit 2 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 1. "S[1],Set bit 1 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 0. "S[0],Set bit 0 [Read/Write]" "Not implemented/No effect,Implemented/Set" group.quad spr:0x30F67++0x00 line.long 0x00 "CLUSTERPMCLAIMCLR_EL1,Cluster Performance Monitor Claim Tag Clear Register (EL1)" bitfld.long 0x00 3. "C[3],Clear bit 3 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 2. "C[2],Clear bit 2 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 1. "C[1],Clear bit 1 [Read/Write]" "Not implemented/No effect,Implemented/Set" bitfld.long 0x00 0. "C[0],Clear bit 0 [Read/Write]" "Not implemented/No effect,Implemented/Set" tree.end tree.end tree.end tree.open "AArch32" tree "ID Registers" rgroup.long c15:0x0010++0x00 line.long 0x00 "ID_PFR0,Processor Feature Register 0" bitfld.long 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..." newline bitfld.long 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..." bitfld.long 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Trivial,?..." bitfld.long 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,After Thumb-2,?..." newline bitfld.long 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..." rgroup.long c15:0x0110++0x00 line.long 0x00 "ID_PFR1,Processor Feature Register 1" bitfld.long 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..." newline bitfld.long 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." rgroup.long c15:0x0310++0x00 line.long 0x00 "ID_AFR0,Auxiliary Feature Register 0" rgroup.long c15:0x0410++0x00 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,HW coherency,?..." bitfld.long 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.long 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,Control/Fault Status,?..." newline bitfld.long 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..." bitfld.long 0x00 12.--15. "SL,Shareability levels" "Reserved,Implemented 2 levels,?..." bitfld.long 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,HW coherency,?..." newline bitfld.long 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.long 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,VMSAv7/PXN/L-DESC,?..." rgroup.long c15:0x0510++0x00 line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" bitfld.long 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,No flushing,?..." bitfld.long 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." newline bitfld.long 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." newline bitfld.long 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." newline bitfld.long 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." rgroup.long c15:0x0610++0x00 line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2" bitfld.long 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..." bitfld.long 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,DSB/ISB/DMB,?..." newline bitfld.long 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,S2 operations,?..." newline bitfld.long 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." newline bitfld.long 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." rgroup.long c15:0x0710++0x00 line.long 0x00 "ID_MMFR3,Memory Model Feature Register 3" bitfld.long 0x00 28.--31. "SS,Supersection support" "Supported,?..." bitfld.long 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..." bitfld.long 0x00 20.--23. "CW,Coherent walk" "Reserved,Not required,?..." newline bitfld.long 0x00 16.--19. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..." bitfld.long 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Shareability/Defined behavior,?..." bitfld.long 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Invalidate All/VA,?..." newline bitfld.long 0x00 4.--7. "CMSW,Cache maintenance by set/way" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "CMMVA,Cache maintenance by MVA" "Reserved,Supported,?..." rgroup.long c15:0x0620++0x00 line.long 0x00 "ID_MMFR4,Memory Model Feature Register 4" bitfld.long 0x00 20.--23. "LSM,LSMAOE and NTLSMD bits support" "Not supported,?..." bitfld.long 0x00 16.--19. "HD,Hierarchical Permission Disables Support" "Reserved,Reserved,Extended,?..." bitfld.long 0x00 12.--15. "CNP,Common not Private support" "Reserved,Supported,?..." newline bitfld.long 0x00 8.--11. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Reserved,Supported,?..." bitfld.long 0x00 4.--7. "AC2,Indicates the extension of the HACTLR Register using HACTLR2" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "SPECSEI,Describes whether the PE can generate SError interrupt exceptions" "Not possible,?..." rgroup.long c15:0x0020++0x00 line.long 0x00 "ID_ISAR0,ID_ISAR0" bitfld.long 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,T32/A32,?..." bitfld.long 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..." newline bitfld.long 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..." rgroup.long c15:0x0120++0x00 line.long 0x00 "ID_ISAR1,ID_ISAR1" bitfld.long 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,A32-BX like,?..." bitfld.long 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Full support,?..." bitfld.long 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0220++0x00 line.long 0x00 "ID_ISAR2,ID_ISAR2" bitfld.long 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 24.--27. "PSRI,PSR Instructions Support" "Not supported,Supported,?..." bitfld.long 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,UMAAL,?..." newline bitfld.long 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." newline bitfld.long 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,PLD/PLI/PLDW,?..." bitfld.long 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.long c15:0x0320++0x00 line.long 0x00 "ID_ISAR3,ID_ISAR3" bitfld.long 0x00 28.--31. "T32EE,Thumb-EE Extensions Support" "Not supported,?..." bitfld.long 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0420++0x00 line.long 0x00 "ID_ISAR4,ID_ISAR4" bitfld.long 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..." bitfld.long 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..." bitfld.long 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..." newline bitfld.long 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.long c15:0x0520++0x00 line.long 0x00 "ID_ISAR5,Instruction Set Attribute Register 5" bitfld.long 0x00 24.--27. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 16.--19. "CRC32,Indicates whether CRC32 instructions are implemented in AArch32 state" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SHA2,Indicates whether SHA2 instructions are implemented in AArch32 state" "Not supported,Supported,?..." newline bitfld.long 0x00 8.--11. "SHA1,Indicates whether SHA1 instructions are implemented in AArch32 state" "Not supported,Supported,?..." bitfld.long 0x00 4.--7. "AES,Indicates whether AES instructions are implemented in AArch32 state" "Not supported,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "SEVL,Indicates whether SEVL instruction is implemented in AArch32" "Reserved,Supported,?..." rgroup.long c15:0x0720++0x00 line.long 0x00 "ID_ISAR6,Instruction Set Attribute Register 6" bitfld.long 0x00 4.--7. "DP,Indicates UDOT and SDOT instructions in AArch32 state" "Reserved,Implemented,?..." rgroup.long c15:0x0210++0x00 line.long 0x00 "ID_DFR0,Debug Feature Register" bitfld.long 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,Reserved,Supported/16bit evtCount,?..." bitfld.long 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..." bitfld.long 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..." newline bitfld.long 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..." bitfld.long 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..." newline bitfld.long 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..." rgroup.long c15:0x0000++0x00 line.long 0x00 "MIDR,Main ID Register" hexmask.long.byte 0x0 24.--31. 1. "IMPL,Implementer code" bitfld.long 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme" newline hexmask.long.word 0x00 4.--15. 1. "PART,Primary Part Number" bitfld.long 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long c15:0x0200++0x00 line.long 0x00 "TCMTR,TCM Type Register" rgroup.long c15:0x0300++0x00 line.long 0x00 "TLBTR,TLB Type Register" bitfld.long 0x00 0. "NU,Not Unified TLB" "Unified TLB,Separate Instruction and Data TLBs" rgroup.long c15:0x0500++0x00 line.long 0x00 "MPIDR,Multiprocessor Affinity Register" bitfld.long 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,?..." bitfld.long 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Not implemented,Implemented" newline hexmask.long.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Identifies different clusters within the system" bitfld.long 0x00 8.--15. "AFF1,Affinity level 1. Identifies individual cores within the local FCM cluster" "CORE0,CORE1,CORE2,CORE3,CORE4,CORE5,CORE6,CORE7,?..." hexmask.long.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. Identifies individual threads within a multi-threaded core" rgroup.long c15:0x0600++0x00 line.long 0x00 "REVIDR,Revision ID Register" rgroup.long c15:0x1700++0x00 line.long 0x00 "AIDR,Auxiliary ID Register" tree.end tree "System Control and Configuration" group.long c15:0x0001++0x00 line.long 0x0 "SCTLR,Control Register" bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32" bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled" newline bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 23. "SPAN,Set Privileged Access Never" "Disabled,Enabled" bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes" newline bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes" bitfld.long 0x00 13. "V,Base Location of Exception Registers" "VBAR value,0xFFFF0000" newline bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" newline bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled" bitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled" newline bitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap" bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" rgroup.quad c15:0x100F0++0x01 line.quad 0x00 "CPUACTLR,CPU Auxiliary Control Register" if corename()=="CORTEXA75" rgroup.quad c15:0x10F11++0x01 line.quad 0x00 "CPUACTLR2,CPU Auxiliary Control Register 2" endif if corename()=="CORTEXA75" group.quad c15:0x140F0++0x01 line.quad 0x00 "CPUECTLR,CPU Extended Control Register" bitfld.quad 0x00 22.--23. "L4_STREAM,Threshold for direct stream to L4 cache on store" "512KB,1024KB,2048KB,Disabled" bitfld.quad 0x00 20.--21. "L3_STREAM,Threshold for direct stream to L3 cache on store" "64KB,256KB,512KB,Disabled" newline bitfld.quad 0x00 18.--19. "L2_STREAM,Threshold for direct stream to L2 cache on store" "16KB,64KB,128KB,Disabled" bitfld.quad 0x00 10. "L3PF,Enable L3 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" newline bitfld.quad 0x00 9. "L2PF,Enable L2 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" bitfld.quad 0x00 8. "L1PF,Enable L1 prefetch requests sent by the stride prefetcher" "Disabled,Enabled" newline bitfld.quad 0x00 7. "RPF,Enable L2 region prefetch requests" "Disabled,Enabled" bitfld.quad 0x00 6. "MMUPF,Enable MMU prefetch requests" "Disabled,Enabled" newline bitfld.quad 0x00 5. "RPF_AGGRO,L2 region prefetcher aggressivity" "Longer,Shorter" bitfld.quad 0x00 1. "RNSD_EXCL,Enables signaling of cacheable Exclusive loads on the internal interface between the core and the DSU" "Not use,Use" newline bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External" elif corename()=="CORTEXA55" group.quad c15:0x140F0++0x01 line.quad 0x00 "CPUECTLR,CPU Extended Control Register" bitfld.quad 0x00 38.--39. "ATOM,Force most cacheable atomic instructions to be executed far in the L3 cache or beyond and near in the L1 cache" "Near - hit/unique | Far - miss/shared,Near,Far,Near - load | Far - store" bitfld.quad 0x00 37. "L2FLUSH,L2 cache flush" "Enabled,Disabled" newline bitfld.quad 0x00 29.--30. "L3WSCTL,Write streaming no-L3-allocate threshold" "128th line,1024th line,4096th line,Disabled" bitfld.quad 0x00 27.--28. "L2WSCTL,Write streaming no-L2-allocate threshold" "16th line,128th line,512th line,Disabled" newline bitfld.quad 0x00 25.--26. "L1WSCTL,Write streaming no-L1-allocate threshold" "4th line,64th line,128th line,Disabled" bitfld.quad 0x00 13.--15. "L1PCTL,L1 Data prefetch control. Maximum number of outstanding data prefetches allowed in the L1 memory system" "Prefetch disabled,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--12. "L3PCTL,L3 Data prefetch control. Maximum number of outstanding data prefetches allowed that can be sent to the L3 memory system" "16 lines,32 lines,Reserved,Reserved,Disabled,2 lines,4 lines,8 lines" bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External" endif rgroup.long c15:0x608F++0x00 line.long 0x00 "CPUPSELR,CPU Private Selection Register" rgroup.quad c15:0x618F++0x01 line.quad 0x00 "CPUPCR,CPU Private Control Register" rgroup.quad c15:0x638F++0x01 line.quad 0x00 "CPUPMR,CPU Private Mask Register" rgroup.quad c15:0x628F++0x01 line.quad 0x00 "CPUPOR,CPU Private Operation Register" group.long c15:0x0101++0x00 line.long 0x00 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 12. "CLUSTERPMUEN,Performance Management Registers access control" "Not accessible,Accessible" bitfld.long 0x00 11. "SMEN,Scheme Management Registers access control" "Not accessible,Accessible" newline bitfld.long 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible" bitfld.long 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" newline bitfld.long 0x00 5. "ERXPFGEN,Error Record Registers access control" "Not accessible,Accessible" bitfld.long 0x00 1. "ECTLREN, Extended Control Registers access control" "Not accessible,Accessible" newline bitfld.long 0x00 0. "ACTLREN,Auxiliary Control Registers access control" "Not accessible,Accessible" rgroup.long c15:0x0301++0x00 line.long 0x00 "ACTLR2,Auxiliary Control Register 2" group.long c15:0x0201++0x00 line.long 0x00 "CPACR,Coprocessor Access Control Register 1" bitfld.long 0x00 31. "ASEDIS,Disable Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 22.--23. "CP11,Coprocessor access control" "Denied,Privileged,Reserved,Full" newline bitfld.long 0x00 20.--21. "CP10,Coprocessor access control" "Denied,Privileged,Reserved,Full" group.long c15:0x0011++0x00 line.long 0x00 "SCR,Secure Configuration Register" bitfld.long 0x00 13. "TWE,Traps WFE instructions to Monitor mode" "No trap,Trap" bitfld.long 0x00 12. "TWI,Traps WFI instructions to Monitor mode" "No trap,Trap" newline bitfld.long 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted" bitfld.long 0x00 8. "HCE,Hypervisor Call instruction enable" "No,Yes" newline bitfld.long 0x00 7. "SCD,Secure Monitor Call disable" "No,Yes" bitfld.long 0x00 6. "NET,Disables early termination" "Enabled,Disabled" newline bitfld.long 0x00 5. "AW,Controls whether the Non-secure world can modify the A-bit in the CPSR" "Not allowed,Allowed" bitfld.long 0x00 4. "FW,Controls whether the Non-secure world can modify the F-bit in the CPSR" "Not allowed,Allowed" newline bitfld.long 0x00 3. "EA,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor" bitfld.long 0x00 2. "FIQ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor" newline bitfld.long 0x00 1. "IRQ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor" bitfld.long 0x00 0. "NS,Secure mode " "Secure,Non-secure" group.long c15:0x0111++0x00 line.long 0x00 "SDER,Secure Debug Enable Register" bitfld.long 0x00 1. "SUNIDEN,Secure User Non-Invasive Debug Enable" "Disabled,Enabled" bitfld.long 0x00 0. "SUIDEN,Secure User Invasive Debug Enable" "Disabled,Enabled" group.long c15:0x0131++0x00 line.long 0x00 "SDCR,Secure Debug Control Register" bitfld.long 0x00 21. "EPMAD,External debugger access to Performance Monitors Registers disabled" "No,Yes" bitfld.long 0x00 20. "EDAD,External debugger access to breakpoint and watchpoint Registers disabled" "No,Yes" newline bitfld.long 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled" bitfld.long 0x00 14.--15. "SPD,AArch32 secure privileged debug" "Legacy,Reserved,Disabled,Enabled" group.long c15:0x0211++0x00 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 15. "NSASEDIS,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 11. "CP11,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted" newline bitfld.long 0x00 10. "CP10,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted" group.long c15:0x000C++0x00 line.long 0x00 "VBAR,Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address" group.long c15:0x010C++0x00 line.long 0x00 "MVBAR,Monitor Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "MVBADDR,Monitor Vector Base Address" rgroup.long c15:0x001C++0x00 line.long 0x00 "ISR,Interrupt Status Register" bitfld.long 0x00 8. "A,Asynchronous external abort pending bit" "Not pending,Pending" bitfld.long 0x00 7. "I,IRQ pending bit" "Not pending,Pending" newline bitfld.long 0x00 6. "F,FIQ pending bit" "Not pending,Pending" group.long c15:0x020C++0x00 line.long 0x00 "RMR,Reset Management Register" bitfld.long 0x00 1. "RR,Reset Request" "Not requested,Requested" bitfld.long 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64" if corename()=="CORTEXA75" rgroup.long c15:0x010C++0x00 line.long 0x00 "RVBAR,Reset Vector Base Address Register" hexmask.long 0x00 2.--31. 0x4 "RA,Reset Address" endif rgroup.long c15:0x0015++0x00 line.long 0x00 "ADFSR,Auxiliary Data Fault Status Register" rgroup.long c15:0x0115++0x00 line.long 0x00 "AIFSR,Auxiliary Instruction Fault Status Register" if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Uncorrected/Unrecoverable,?..." newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault" bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 4.--7. "DOMAIN,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" newline bitfld.long 0x00 0.--3. 10. "FS,Generated Exception Type" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L1,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError,Reserved,SError/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--3. 10. "FS,Fault status bits" "Reserved,Alignment,Debug,Access flag/L1,Reserved,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Uncorrected/Unrecoverable,?..." newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault" bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Async. SError,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity error/ECC on TTW/L2,Sync. parity error/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..." group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" ",Uncorrected/Unrecoverable,?..." newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault" bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 4.--7. "DOMAIN,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" newline bitfld.long 0x00 0.--3. 10. "FS,Fault status bits" "Reserved,Alignment,Debug,Access flag/L1,Reserved,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--3. 10. "FS,Fault Status" "Reserved,Reserved,Debug event,Access flag/section,Reserved,Translation/section,Access flag/page,Translation/page,Sync. external/non-translation,Domain/section,Reserved,Domain/page,Sync. external/on TTW/L1,Permission/section,Sync. external/on TTW/L2,Permission/page,TLB conflict,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/L1,Reserved,Sync. parity/on TTW/L2,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" ",Uncorrected/Unrecoverable,?..." newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault" bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Async. SError,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity error/ECC on TTW/L2,Sync. parity error/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..." group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1" newline bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." endif endif group.long c15:0x0006++0x00 line.long 0x00 "DFAR,Data Fault Address Register" group.long c15:0x0206++0x00 line.long 0x00 "IFAR,Instruction Fault Address Register" rgroup.long c15:0x000D++0x00 line.long 0x00 "FCSEIDR,FCSE PID Register" group.long c15:0x020D++0x00 line.long 0x00 "TPIDRURW,PL0 Read/Write Software Thread ID Register" group.long c15:0x030D++0x00 line.long 0x00 "TPIDRURO,PL0 Read-Only Software Thread ID Register" group.long c15:0x040D++0x00 line.long 0x00 "TPIDRPRW,PL1 Software Thread ID Register" if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x011C++0x00 line.long 0x00 "DISR,Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Alignment,Debug,Access/L1,Instruction,Translation/L1,Access/L2,Translation/L2,Non-translation/sync. external,Domain/L1,Reserved,Domain/L2,L1/external,Permission/L1,L2/external,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError interrupt,Reserved,SError interrupt parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." else group.long c15:0x011C++0x00 line.long 0x00 "DISR,Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,SError interrupt,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,SError interrupt/a parity or ECC/on memory access,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..." endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x011C++0x00 line.long 0x00 "DISR,Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Alignment,Debug,Access/L1,Instruction,Translation/L1,Access/L2,Translation/L2,Non-translation/sync. external,Domain/L1,Reserved,Domain/L2,L1/external,Permission/L1,L2/external,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError interrupt,Reserved,SError interrupt parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." else group.long c15:0x011C++0x00 line.long 0x00 "DISR,Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation fault/L2,Translation fault/L3,Reserved,Access flag fault/L1,Access flag fault/L2,Access flag fault/L3,Reserved,Permission fault/L1,Permission fault/L2,Permission fault/L3,Sync. external,SError interrupt,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,SError interrupt/parity or ECC/on memory access,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..." endif endif if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x411C++0x00 line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..." else group.long c15:0x411C++0x00 line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor" bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..." endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x411C++0x00 line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,?..." bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..." else group.long c15:0x411C++0x00 line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register" bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." newline bitfld.long 0x00 9. "LPAE,Format" ",Long-descriptor" bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..." endif endif group.long c15:0x4325++0x00 line.long 0x00 "VDFSR,Virtual SError Exception Syndrome Register" bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..." tree "System Instructions" wgroup.long c15:0x0068++0x00 line.long 0x00 "DTLBIALL,DTLBIALL" wgroup.long c15:0x0268++0x00 line.long 0x00 "DTLBIASID,DTLBIASID" wgroup.long c15:0x0168++0x00 line.long 0x00 "DTLBIMVA,DTLBIMVA" wgroup.long c15:0x0058++0x00 line.long 0x00 "ITLBIALL,ITLBIALL" wgroup.long c15:0x0258++0x00 line.long 0x00 "ITLBIASID,ITLBIASID" wgroup.long c15:0x0158++0x00 line.long 0x00 "ITLBIMVA,ITLBIMVA" wgroup.long c15:0x05A7++0x00 line.long 0x00 "CP15DMB,CP15DMB" wgroup.long c15:0x04A7++0x00 line.long 0x00 "CP15DSB,CP15DSB" wgroup.long c15:0x0457++0x00 line.long 0x00 "CP15ISB,CP15ISB" wgroup.long c15:0x0657++0x00 line.long 0x00 "BPIALL,BPIALL" wgroup.long c15:0x0617++0x00 line.long 0x00 "BPIALLIS,BPIALLIS" wgroup.long c15:0x0757++0x00 line.long 0x00 "BPIMVA,BPIMVA" wgroup.long c15:0x0017++0x00 line.long 0x00 "ICIALLUIS,ICIALLUIS" wgroup.long c15:0x0057++0x00 line.long 0x00 "ICIALLU,ICIALLU" wgroup.long c15:0x0157++0x00 line.long 0x00 "ICIMVAU,ICIMVAU" wgroup.long c15:0x3147++0x00 line.long 0x00 "DCZVA,DCZVA" wgroup.long c15:0x0167++0x00 line.long 0x00 "DCIMVAC,DCIMVAC" wgroup.long c15:0x0267++0x00 line.long 0x00 "DCISW,DCISW" wgroup.long c15:0x01A7++0x00 line.long 0x00 "DCCMVAC,DCCMVAC" wgroup.long c15:0x02A7++0x00 line.long 0x00 "DCCSW,DCCSW" wgroup.long c15:0x01B7++0x00 line.long 0x00 "DCCMVAU,DCCMVAU" wgroup.long c15:0x01E7++0x00 line.long 0x00 "DCCIMVAC,DCCIMVAC" wgroup.long c15:0x02E7++0x00 line.long 0x00 "DCCISW,DCCISW" wgroup.long c15:0x0087++0x00 line.long 0x00 "ATS1CPR,ATS1CPR" wgroup.long c15:0x0097++0x00 line.long 0x00 "ATS1CPRP,ATS1CPRP" wgroup.long c15:0x0187++0x00 line.long 0x00 "ATS1CPW,ATS1CPW" wgroup.long c15:0x0197++0x00 line.long 0x00 "ATS1CPWP,ATS1CPWP" wgroup.long c15:0x0287++0x00 line.long 0x00 "ATS1CUR,ATS1CUR" wgroup.long c15:0x0387++0x00 line.long 0x00 "ATS1CUW,ATS1CUW" wgroup.long c15:0x0487++0x00 line.long 0x00 "ATS12NSOPR,ATS12NSOPR" wgroup.long c15:0x0587++0x00 line.long 0x00 "ATS12NSOPW,ATS12NSOPW" wgroup.long c15:0x0687++0x00 line.long 0x00 "ATS12NSOUR,ATS12NSOUR" wgroup.long c15:0x0787++0x00 line.long 0x00 "ATS12NSOUW,ATS12NSOUW" wgroup.long c15:0x4087++0x00 line.long 0x00 "ATS1HR,ATS1HR" wgroup.long c15:0x4187++0x00 line.long 0x00 "ATS1HW,ATS1HW" ; Commented Registers are not described in ARMv8 reference manual (DDI0407A) ; wgroup.long c15:0x6087++0x00 ; line.long 0x00 "ATS1E3R,ATS1E3R" ; wgroup.long c15:0x6187++0x00 ; line.long 0x00 "ATS1E3W,ATS1E3W" wgroup.long c15:0x0078++0x00 line.long 0x00 "TLBIALL,TLBIALL" wgroup.long c15:0x0178++0x00 line.long 0x00 "TLBIMVA,TLBIMVA" wgroup.long c15:0x4178++0x00 line.long 0x00 "TLBIMVAH,Invalidate Hypervisor unified TLB entry by MVA" wgroup.long c15:0x0278++0x00 line.long 0x00 "TLBIASID,TLBIASID" wgroup.long c15:0x0378++0x00 line.long 0x00 "TLBIMVAA,TLBIMVAA" wgroup.long c15:0x0578++0x00 line.long 0x00 "TLBIMVAL,TLBIMVAL" wgroup.long c15:0x0778++0x00 line.long 0x00 "TLBIMVAAL,TLBIMVAAL" wgroup.long c15:0x0038++0x00 line.long 0x00 "TLBIALLIS,TLBIALLIS" wgroup.long c15:0x0138++0x00 line.long 0x00 "TLBIMVAIS,TLBIMVAIS" wgroup.long c15:0x0238++0x00 line.long 0x00 "TLBIASIDIS,TLBIASIDIS" wgroup.long c15:0x0338++0x00 line.long 0x00 "TLBIMVAAIS,TLBIMVAAIS" wgroup.long c15:0x0538++0x00 line.long 0x00 "TLBIMVALIS,TLBIMVALIS" wgroup.long c15:0x0738++0x00 line.long 0x00 "TLBIMVAALI,TLBIMVAALI" wgroup.long c15:0x4108++0x00 line.long 0x00 "TLBIIPAS2IS,TLBIIPAS2IS" wgroup.long c15:0x4508++0x00 line.long 0x00 "TLBIIPAS2LIS,TLBIIPAS2LIS" wgroup.long c15:0x4148++0x00 line.long 0x00 "TLBIIPAS2,TLBIIPAS2" wgroup.long c15:0x4548++0x00 line.long 0x00 "TLBIIPAS2L,TLBIIPAS2L" wgroup.long c15:0x4178++0x00 line.long 0x00 "TLBIIPAS2L,TLBIIPAS2L" wgroup.long c15:0x4578++0x00 line.long 0x00 "TLBIMVALH,TLBIMVALH" ; wgroup.long c15:0x4678++0x00 ; line.long 0x00 "TLBIVMALLS12E1,TLBIVMALLS12E1" wgroup.long c15:0x4138++0x00 line.long 0x00 "TLBIMVAHIS,TLBIMVAHIS" wgroup.long c15:0x4538++0x00 line.long 0x00 "TLBIMVALHIS,TLBIMVALHIS" ; wgroup.long c15:0x4638++0x00 ; line.long 0x00 "TLBIVMALLS12E1IS,TLBIVMALLS12E1IS" ; wgroup.long c15:0x6178++0x00 ; line.long 0x00 "TLBIVAE3,TLBIVAE3" ; wgroup.long c15:0x6578++0x00 ; line.long 0x00 "TLBIVALE3,TLBIVALE3" ; wgroup.long c15:0x6138++0x00 ; line.long 0x00 "TLBIVAE3IS,TLBIVAE3IS" ; wgroup.long c15:0x6538++0x00 ; line.long 0x00 "TLBIVALE3IS,TLBIVALE3IS" wgroup.long c15:0x4078++0x00 line.long 0x00 "TLBIALLH,TLBIALLH" wgroup.long c15:0x4038++0x00 line.long 0x00 "TLBIALLHIS,TLBIALLHIS" wgroup.long c15:0x4478++0x00 line.long 0x00 "TLBIALLNSNH,TLBIALLNSNH" wgroup.long c15:0x4438++0x00 line.long 0x00 "TLBIALLNSNHIS,TLBIALLNSNHIS" ; wgroup.long c15:0x6078++0x00 ; line.long 0x00 "TLBIALLE3,TLBIALLE3" ; wgroup.long c15:0x6038++0x00 ; line.long 0x00 "TLBIALLE3IS,TLBIALLE3IS" tree.end tree.end tree "Memory Management Unit" group.long c15:0x0001++0x0 line.long 0x0 "SCTLR,Control Register" bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32" bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled" newline bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 23. "SPAN,Set Privileged Access Never" "Disabled,Enabled" bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes" newline bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes" bitfld.long 0x00 13. "V,Base Location of Exception Registers" "VBAR value,0xFFFF0000" newline bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" newline bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled" rbitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled" newline rbitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap" bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.long c15:0x4001++0x0 line.long 0x00 "HSCTLR,Hypervisor System Control Register" bitfld.long 0x00 30. "TE,Thumb exception enable" "A32,T32" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT instruction functionality Disabled" "No,?..." newline bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled" rbitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled" newline rbitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Trapped,No Trapped" bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" bitfld.long 0x00 0. "M,Enable address translation" "Disabled,Enabled" if (((per.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0002++0x00 line.long 0x00 "TTBR0,Translation Table Base Registers" hexmask.long 0x00 7.--31. 0x80 "TTB0,Translation table base address" bitfld.long 0x00 6. 0. "IRGN,Inner region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 5. "NOS,Not Outer Shareable bit" "Outer,Inner" bitfld.long 0x00 3.--4. "RGN,RGN" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 1. "S,Shareable bit" "Non-shareable,Shareable" group.long c15:0x0102++0x00 line.long 0x00 "TTBR1,Translation Table Base Registers" hexmask.long 0x00 7.--31. 0x80 "TTB1,Translation table base address" bitfld.long 0x00 6. 0. "IRGN,Inner region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 5. "NOS,Not Outer Shareable bit" "Outer,Inner" bitfld.long 0x00 3.--4. "RGN,RGN" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable" group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 5. "PD1,Translation table walk disable for translations using TTBR1" "No,Yes" newline bitfld.long 0x00 4. "PD0,Translation table walk disable for translations using TTBR0" "No,Yes" bitfld.long 0x00 0.--2. "N,Width of the base address held in TTBR0" "0,1,2,3,4,5,6,7" else group.quad c15:0x10020++0x01 line.quad 0x00 "TTBR0,Translation Table Base Registers" hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 1. "BADDR,Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.quad c15:0x11020++0x01 line.quad 0x00 "TTBR1,Translation Table Base Registers" hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 1.--47. 1. "BADDR,Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 28.--29. "SH1,Shareability attributes for the memory associated with the translation table walks using TTBR1" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 26.--27. "ORGN1,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 24.--25. "IRGN1,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 23. "EPD1,Translation Walk Disable for TTBR1" "No,Yes" bitfld.long 0x00 22. "A1,Selects whether TTBR0 or TTBR1 defines the ASID" "TTBR0,TTBR1" newline bitfld.long 0x00 16.--18. "T1SZ,The Size offset of the TTBR1 addressed region" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 7. "EPD0,Translation Walk Disable for TTBR0 region" "No,Yes" bitfld.long 0x00 6. "T2E,TTBCR2 Enable" "Disabled,Enabled" newline bitfld.long 0x00 0.--2. "T0SZ,The Size offset of the TTBR0/HTTBR addressed region" "0,1,2,3,4,5,6,7" endif if corename()=="CORTEXA55" group.long c15:0x0302++0x00 line.long 0x00 "TTBCR2,Translation Table Base Control Register 2" bitfld.long 0x00 18. "HWU162,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible" bitfld.long 0x00 17. "HWU161,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible" newline bitfld.long 0x00 16. "HWU160,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible" bitfld.long 0x00 15. "HWU159,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible" newline bitfld.long 0x00 14. "HWU062,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" bitfld.long 0x00 13. "HWU061,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" newline bitfld.long 0x00 12. "HWU060,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" bitfld.long 0x00 11. "HWU059,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" newline bitfld.long 0x00 10. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes" bitfld.long 0x00 9. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes" group.long c15:0x007F++0x00 line.long 0x00 "ATTBCR,Auxiliary Translation Table Base Control Register" bitfld.long 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1" bitfld.long 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1" newline bitfld.long 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1" bitfld.long 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1" newline bitfld.long 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" bitfld.long 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled" newline bitfld.long 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" bitfld.long 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled" endif group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 1.--47. 0x02 "BADDR,Translation table base address" bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.long c15:0x4202++0x00 line.long 0x00 "HTCR,Hypervisor Translation Control Register" bitfld.long 0x00 28. "HWU062,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" bitfld.long 0x00 27. "HWU061,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" newline bitfld.long 0x00 26. "HWU060,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" bitfld.long 0x00 25. "HWU059,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible" newline bitfld.long 0x00 24. "HPD,Hierarchical Permission Disables" "No,Yes" bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 0.--2. "T0SZ,The size offset of the memory region addressed by HTTBR" "0,1,2,3,4,5,6,7" group.long c15:0x0003++0x00 line.long 0x00 "DACR,Domain Access Control Register" bitfld.long 0x0 30.--31. "D15,Domain Access 15" "Denied,Client,Reserved,Manager" bitfld.long 0x0 28.--29. "D14,Domain Access 14" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 26.--27. "D13,Domain Access 13" "Denied,Client,Reserved,Manager" bitfld.long 0x0 24.--25. "D12,Domain Access 12" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 22.--23. "D11,Domain Access 11" "Denied,Client,Reserved,Manager" bitfld.long 0x0 20.--21. "D10,Domain Access 10" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 18.--19. "D9,Domain Access 9" "Denied,Client,Reserved,Manager" bitfld.long 0x0 16.--17. "D8,Domain Access 8" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 14.--15. "D7,Domain Access 7" "Denied,Client,Reserved,Manager" bitfld.long 0x0 12.--13. "D6,Domain Access 6" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 10.--11. "D5,Domain Access 5" "Denied,Client,Reserved,Manager" bitfld.long 0x0 8.--9. "D4,Domain Access 4" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 6.--7. "D3,Domain Access 3" "Denied,Client,Reserved,Manager" bitfld.long 0x0 4.--5. "D2,Domain Access 2" "Denied,Client,Reserved,Manager" newline bitfld.long 0x0 2.--3. "D1,Domain Access 1" "Denied,Client,Reserved,Manager" bitfld.long 0x0 0.--1. "D0,Domain Access 0" "Denied,Client,Reserved,Manager" if corename()=="CORTEXA75" if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x0)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" hexmask.long.tbyte 0x00 12.--31. 0x10 "PA,Physical Address" bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,Long" newline bitfld.long 0x00 10. "NOS,Not Outer Shareable attribute for the region" "Outer,?..." bitfld.long 0x00 9. "NS,Non-secure" "No,Yes" newline bitfld.long 0x00 7. "SH,Shareability attribute for the region" "No,Yes" newline bitfld.long 0x00 4.--6. "INNER,Inner memory attributes for the region" "Non-cacheable,Device-nGnRnE,Reserved,Device-nGnRE,Reserved,Write-Back/Write-Allocate,Write-Through,Write-Back/No Write-Allocate" newline bitfld.long 0x00 2.--3. "OUTER,Outer memory attributes for the region" "Non-cacheable,Write-Back/Write-Allocate,Write-Through/No Write-Allocate,Write-Back/No Write-Allocate" newline bitfld.long 0x00 1. "SS,Used to indicate if the result is a Supersection" "No,Yes" newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x1)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..." newline newline newline bitfld.long 0x00 6. "FS[5],Fault status bit [5] - External abort type" "Internal,External" newline newline bitfld.long 0x00 1.--5. "FS[4:0],Fault status bit [4:0] - Abort source" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp. exclusive access,SError,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.l(c15:0x0202))&0x80000000)==0x80000000)&&(((per.l(c15:0x10070))&0x1)==0x0)) group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" hexmask.quad.byte 0x00 56.--63. 1. "ATTR,Memory attributes for the returned PA" hexmask.quad.long 0x00 12.--39. 0x10 "PA,Physical Address" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long" newline bitfld.quad 0x00 9. "NS,Non-secure" "No,Yes" bitfld.quad 0x00 7.--8. "SH,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline newline newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" else group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long" newline bitfld.quad 0x00 9. "FSTAGE,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "S2WLK,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline newline newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size/TTBR,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC/on TTW/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" endif elif corename()=="CORTEXA55" if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x0)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" hexmask.long.tbyte 0x00 12.--31. 0x10 "PA,Physical Address" newline bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..." bitfld.long 0x00 10. "NOS,Not Outer Shareable attribute for the region" "Outer,Inner" newline bitfld.long 0x00 9. "NS,Non-secure" "No,Yes" bitfld.long 0x00 7. "SH,Shareability attribute for the region" "No,Yes" newline bitfld.long 0x00 4.--6. "INNER,Inner memory attributes for the region" "Non-cacheable,Device-nGnRnE,Reserved,Device-nGnRE,Reserved,Write-Back/Write-Allocate,Write-Through,Write-Back/No Write-Allocate" newline bitfld.long 0x00 2.--3. "OUTER,Outer memory attributes for the region" "Non-cacheable,Write-Back/Write-Allocate,Write-Through/No Write-Allocate,Write-Back/No Write-Allocate" newline bitfld.long 0x00 1. "SS,Used to indicate if the result is a Supersection" "No,Yes" newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x1)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" newline bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..." newline bitfld.long 0x00 6. "FS[5],Fault status bit [5] - External abort type" "Internal,External" newline newline newline bitfld.long 0x00 1.--5. "FS[4:0],Fault status bit [4:0] - Abort source" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp. exclusive access,SError,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..." newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" ",Aborted" elif ((((per.l(c15:0x0202))&0x80000000)==0x80000000)&&(((per.l(c15:0x10070))&0x1)==0x0)) group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" hexmask.quad.byte 0x00 56.--63. 1. "ATTR,Memory attributes for the returned PA" hexmask.quad.long 0x00 12.--39. 0x10 "PA,Physical Address" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long" newline bitfld.quad 0x00 9. "NS,Non-secure" "No,Yes" bitfld.quad 0x00 7.--8. "SH,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline newline newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,?..." else group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long" newline bitfld.quad 0x00 9. "FSTAGE,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "S2WLK,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline newline newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size/TTBR,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC/on TTW/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" ",Aborted" endif endif tree.open "Memory Attribute Indirection Registers" rgroup.long c15:0x003A++0x00 line.long 0x00 "AMAIR0,Auxiliary Memory Attribute Indirection Register 0" rgroup.long c15:0x013A++0x00 line.long 0x00 "AMAIR1,Auxiliary Memory Attribute Indirection Register 1" if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" else rgroup.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" rgroup.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" else rgroup.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" rgroup.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" endif endif rgroup.long c15:0x403A++0x00 line.long 0x00 "HAMAIR0,Hypervisor Auxiliary Memory Attribute Indirection Register 0" rgroup.long c15:0x413A++0x00 line.long 0x00 "HAMAIR1,Hypervisor Auxiliary Memory Attribute Indirection Register 1" if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x010D++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" else group.long c15:0x002A++0x0 line.long 0x00 "PRRR,Primary Region Remap Register" bitfld.long 0x00 31. "NOS7,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 30. "NOS6,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 29. "NOS5,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 28. "NOS4,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 27. "NOS3,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 26. "NOS2,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 25. "NOS1,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 24. "NOS0,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 19. "NS1,Mapping of S = 1 attribute for Normal memory" "Not shareable,Shareable" bitfld.long 0x00 18. "NS0,Mapping of S = 0 attribute for Normal memory" "Not shareable,Shareable" newline bitfld.long 0x00 17. "DS1,Mapping of S = 1 attribute for Device memory" "Not shareable,Shareable" bitfld.long 0x00 16. "DS0,Mapping of S = 0 attribute for Device memory" "Not shareable,Shareable" newline bitfld.long 0x00 14.--15. "TR7,{TEX[0] C B} = b111 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 12.--13. "TR6,{TEX[0] C B} = b110 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 10.--11. "TR5,{TEX[0] C B} = b101 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 8.--9. "TR4,{TEX[0] C B} = b100 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 6.--7. "TR3,{TEX[0] C B} = b011 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 4.--5. "TR2,{TEX[0] C B} = b010 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 2.--3. "TR1,{TEX[0] C B} = b001 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 0.--1. "TR0,{TEX[0] C B} = b000 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." group.long c15:0x012A++0x0 line.long 0x00 "NMRR,Normal Memory Remap Register" bitfld.long 0x00 30.--31. "OR7,Outer Attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 28.--29. "OR6,Outer Attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 26.--27. "OR5,Outer Attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 24.--25. "OR4,Outer Attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 22.--23. "OR3,Outer Attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 20.--21. "OR2,Outer Attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 18.--19. "OR1,Outer Attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 16.--17. "OR0,Outer Attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 14.--15. "IR7,Inner attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 12.--13. "IR6,Inner attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 10.--11. "IR5,Inner attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 8.--9. "IR4,Inner attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 6.--7. "IR3,Inner attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 4.--5. "IR2,Inner attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 2.--3. "IR1,Inner attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 0.--1. "IR0,Inner attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" group.long c15:0x010D++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" hexmask.long.tbyte 0x00 8.--31. 1. "PROCID,Process Identifier" hexmask.long.byte 0x00 0.--7. 1. "ASID,Address Space Identifier" endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x010D++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" else group.long c15:0x002A++0x0 line.long 0x00 "PRRR,Primary Region Remap Register" bitfld.long 0x00 31. "NOS7,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 30. "NOS6,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 29. "NOS5,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 28. "NOS4,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 27. "NOS3,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 26. "NOS2,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 25. "NOS1,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 24. "NOS0,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 19. "NS1,Mapping of S = 1 attribute for Normal memory" "Not shareable,Shareable" bitfld.long 0x00 18. "NS0,Mapping of S = 0 attribute for Normal memory" "Not shareable,Shareable" newline bitfld.long 0x00 17. "DS1,Mapping of S = 1 attribute for Device memory" "Not shareable,Shareable" bitfld.long 0x00 16. "DS0,Mapping of S = 0 attribute for Device memory" "Not shareable,Shareable" newline bitfld.long 0x00 14.--15. "TR7,{TEX[0] C B} = b111 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 12.--13. "TR6,{TEX[0] C B} = b110 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 10.--11. "TR5,{TEX[0] C B} = b101 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 8.--9. "TR4,{TEX[0] C B} = b100 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 6.--7. "TR3,{TEX[0] C B} = b011 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 4.--5. "TR2,{TEX[0] C B} = b010 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." newline bitfld.long 0x00 2.--3. "TR1,{TEX[0] C B} = b001 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." bitfld.long 0x00 0.--1. "TR0,{TEX[0] C B} = b000 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..." group.long c15:0x012A++0x0 line.long 0x00 "NMRR,Normal Memory Remap Register" bitfld.long 0x00 30.--31. "OR7,Outer Attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 28.--29. "OR6,Outer Attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 26.--27. "OR5,Outer Attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 24.--25. "OR4,Outer Attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 22.--23. "OR3,Outer Attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 20.--21. "OR2,Outer Attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 18.--19. "OR1,Outer Attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 16.--17. "OR0,Outer Attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 14.--15. "IR7,Inner attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 12.--13. "IR6,Inner attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 10.--11. "IR5,Inner attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 8.--9. "IR4,Inner attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 6.--7. "IR3,Inner attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 4.--5. "IR2,Inner attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" newline bitfld.long 0x00 2.--3. "IR1,Inner attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" bitfld.long 0x00 0.--1. "IR0,Inner attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate" group.long c15:0x010D++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" hexmask.long.tbyte 0x00 8.--31. 1. "PROCID,Process Identifier" hexmask.long.byte 0x00 0.--7. 1. "ASID,Address Space Identifier" endif endif tree.end tree.end tree "Virtualization Extensions" group.long c15:0x4000++0x00 line.long 0x00 "VPIDR,Virtualization Processor ID Register" hexmask.long.byte 0x0 24.--31. 0x1 "IMPL,Implementer code" bitfld.long 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "ARCH, Architecture" "0,ARMv4,ARMv4T,ARMv5,ARMv5T,ARMv5TE,ARMv5TEJ,ARMv6,8,9,10,11,12,13,14,CPUID scheme" hexmask.long.word 0x00 4.--15. 1. "PART,Primary Part Number" newline bitfld.long 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long c15:0x4500++0x00 line.long 0x00 "VMPIDR,Virtualization Multiprocessor ID Registers" bitfld.long 0x00 31. "M,Multiprocessing Extensions Register format" "Reserved,Supported" bitfld.long 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,Uniprocessor" newline bitfld.long 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Not implemented,Implemented" hexmask.long.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Identifies different clusters within the system" newline bitfld.long 0x00 8.--15. "AFF1,Affinity level 1. Identifies individual cores within the local FCM cluster" "CORE0,CORE1,CORE2,CORE3,CORE4,CORE5,CORE6,CORE7,?..." hexmask.long.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. Identifies individual threads within a multi-threaded core" group.long c15:0x420D++0x00 line.long 0x00 "HTPIDR,Hypervisor Software Thread ID Register" group.long c15:0x4001++0x0 line.long 0x00 "HSCTLR,Hypervisor System Control Register" bitfld.long 0x00 30. "TE,Thumb exception enable" "A32,T32" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT instruction functionality Disabled" "No,?..." newline bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled" bitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled" newline bitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap" bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" bitfld.long 0x00 0. "M,Enable address translation" "Disabled,Enabled" group.long c15:0x4101++0x00 line.long 0x00 "HACTLR,Hypervisor Auxiliary Control Register" bitfld.long 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible" bitfld.long 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible" newline bitfld.long 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible" bitfld.long 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible" newline bitfld.long 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible" bitfld.long 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible" newline bitfld.long 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible" rgroup.long c15:0x4301++0x00 line.long 0x00 "HACTLR2,Hypervisor Auxiliary Control Register 2" rgroup.long c15:0x4711++0x00 line.long 0x00 "HACR,Hypervisor Auxiliary Configuration Register" group.long c15:0x4011++0x00 line.long 0x00 "HCR,Hypervisor Configuration Register" bitfld.long 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "No trap,Trap" bitfld.long 0x00 27. "TGE,Trap General Exceptions from Non-secure EL0" "No trap,Trap" newline bitfld.long 0x00 26. "TVM,Trap Virtual Memory controls" "No trap,Trap" bitfld.long 0x00 25. "TTLB,Trap TLB maintenance instructions" "No trap,Trap" newline bitfld.long 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unification" "No trap,Trap" bitfld.long 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency" "No trap,Trap" newline bitfld.long 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way" "No trap,Trap" bitfld.long 0x00 21. "TAC,Trap Auxiliary Control Register" "No trap,Trap" newline bitfld.long 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "No trap,Trap" bitfld.long 0x00 19. "TSC,Trap SMC" "No trap,Trap" newline bitfld.long 0x00 18. "TID3,Trap ID Group 3" "No trap,Trap" bitfld.long 0x00 17. "TID2,Trap ID Group 2" "No trap,Trap" newline bitfld.long 0x00 16. "TID1,Trap ID Group 1" "No trap,Trap" bitfld.long 0x00 15. "TID0,Trap ID Group 0" "No trap,Trap" newline bitfld.long 0x00 14. "TWE,Trap WFE" "No trap,Trap" bitfld.long 0x00 13. "TWI,Trap WFI" "No trap,Trap" newline bitfld.long 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" bitfld.long 0x00 10.--11. "BSU,Barrier Shareability upgrade" "No effect,Inner Shareable,Outer Shareable,Full System" newline bitfld.long 0x00 9. "FB,Force broadcast" "Not forced,Forced" bitfld.long 0x00 8. "VA,Virtual Asynchronous Abort exception" "Not pending,Pending" newline bitfld.long 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending" bitfld.long 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending" newline bitfld.long 0x00 5. "AMO,Asynchronous Abort Mask Override" "Disabled,Enabled" bitfld.long 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled" newline bitfld.long 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled" bitfld.long 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" newline bitfld.long 0x00 1. "SWIO,Set/Way Invalidation Override" "Disabled,Enabled" bitfld.long 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled" group.long c15:0x4411++0x00 line.long 0x00 "HCR2,Hypervisor Configuration Register" bitfld.long 0x00 5. "TEA, Route synchronous external aborts to EL2" "Not routed,Routed" bitfld.long 0x00 4. "TERR, Trap Error record accesses" "No trap,Trap" newline bitfld.long 0x00 1. "ID,Stage 2 Instruction cache disable" "No,Yes" bitfld.long 0x00 0. "CD,Stage 2 Data cache disable" "No,Yes" group.long c15:0x3054++0x00 line.long 0x00 "DSPSR,Debug Saved Program Status Register" bitfld.long 0x00 31. "N,Negative condition flag" "Not negative,Negative" bitfld.long 0x00 30. "Z,Zero condition flag" "Not zero,Zero" newline bitfld.long 0x00 29. "C,Carry condition flag" "Not carry,Carry" bitfld.long 0x00 28. "V,Overflow condition flag" "No overflow,Overflow" newline bitfld.long 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred" bitfld.long 0x00 22. "PAN,Privileged Access Never" "No,Yes" newline bitfld.long 0x00 21. "SS,Software step" "0,1" bitfld.long 0x00 20. "IL,Illegal Execution state" "0,1" newline bitfld.long 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 9. "E,Endianness state bit" "Little,Big" newline bitfld.long 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked" bitfld.long 0x00 7. "I,IRQ mask bit" "Not masked,Masked" newline bitfld.long 0x00 6. "F,FIQ mask bit" "Not masked,Masked" bitfld.long 0x00 5. "T,T32 Instruction set state" "A32,T32" newline bitfld.long 0x00 4. "M[4],Execution state that the exception was taken from" ",AArch32" bitfld.long 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,Monitor,Abort,,,Hyp,Undefined,,,,System" group.long c15:0x4111++0x00 line.long 0x00 "HDCR,Hypervisor Debug Control Register" bitfld.long 0x00 17. "HPMD,Guest Performance Monitors Disable" "Allowed,Prohibited" bitfld.long 0x00 11. "TDRA,Trap Debug ROM Access" "No trap,Trap" newline bitfld.long 0x00 10. "TDOSA,Trap Debug OS-related Register Access" "No trap,Trap" bitfld.long 0x00 9. "TDA,Trap Debug Access" "No trap,Trap" newline bitfld.long 0x00 8. "TDE,Trap Debug Exceptions" "No trap,Trap" bitfld.long 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled" newline bitfld.long 0x00 6. "TPM,Trap Performance Monitors accesses" "No trap,Trap" bitfld.long 0x00 5. "TPMCR,Trap Performance Monitor Control Register accesses" "No trap,Trap" newline bitfld.long 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long c15:0x4211++0x00 line.long 0x00 "HCPTR,Hypervisor Coprocessor Trap Register" bitfld.long 0x0 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap" bitfld.long 0x0 20. "TTA,Traps Non-secure System Register accesses to all implemented trace Registers to Hypervisor mode" "No trap,Trap" newline bitfld.long 0x0 15. "TASE,Trap Advanced SIMD extensions" "No trap,Trap" bitfld.long 0x0 11. "TCP11,Trap coprocessor 11" "No trap,Trap" newline bitfld.long 0x0 10. "TCP10,Trap coprocessor 10" "No trap,Trap" group.long c15:0x4311++0x00 line.long 0x00 "HSTR,Hypervisor System Trap Register" bitfld.long 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No trap,Trap" bitfld.long 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No trap,Trap" newline bitfld.long 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No trap,Trap" bitfld.long 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No trap,Trap" newline bitfld.long 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No trap,Trap" bitfld.long 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No trap,Trap" newline bitfld.long 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No trap,Trap" bitfld.long 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No trap,Trap" newline bitfld.long 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No trap,Trap" bitfld.long 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No trap,Trap" newline bitfld.long 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No trap,Trap" bitfld.long 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No trap,Trap" newline bitfld.long 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No trap,Trap" bitfld.long 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No trap,Trap" group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 1.--47. 0x02 "BADDR,Translation table base address" bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" group.long c15:0x4202++0x00 line.long 0x00 "HTCR,Hypervisor Translation Control Register" bitfld.long 0x00 28. "HWU62,Hardware usage of bit[62] of the stage2 translation table block or level 3 entry" "Not possible,Possible" bitfld.long 0x00 27. "HWU61,Hardware usage of bit[61] of the stage2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.long 0x00 26. "HWU60,Hardware usage of bit[60] of the stage2 translation table block or level 3 entry" "Not possible,Possible" bitfld.long 0x00 25. "HWU59,Hardware usage of bit[59] of the stage2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.long 0x00 24. "HPD,Hierarchical Permission Disables" "No,Yes" bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 8.--9. "IRGN0, ,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 0.--2. "T0SZ,The size offset of the memory region addressed by HTTBR" "0,1,2,3,4,5,6,7" if corename()=="CORTEXA75" group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.word 0x00 48.--63. 1. "VMID,VMID for the translation table" hexmask.quad 0x00 4.--47. 0x10 "BADDR,Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported" elif corename()=="CORTEXA55" group.long c15:0x407F++0x00 line.long 0x00 "AHTCR,Auxiliary Hypervisor Translation Control Register" bitfld.long 0x00 9. "HWVAL60,Indicates the value of PBHA[1] page table walks memory access if HWEN60 is set" "0,1" bitfld.long 0x00 8. "HWVAL59,Indicates the value of PBHA[1] page table walks memory access if HWEN59 is set" "0,1" newline bitfld.long 0x00 1. "HWEN60,Enables PBHA[1] page table walks memory access" "Disabled,Enabled" bitfld.long 0x00 0. "HWEN59,Enables PBHA[0] page table walks memory access" "Disabled,Enabled" group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 2.--47. 0x04 "BADDR,Translation table base address" newline bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,?..." endif if corename()=="CORTEXA75" group.long c15:0x4212++0x00 line.long 0x00 "VTCR,Virtualization Translation Control Register" bitfld.long 0x00 28. "HWU62,Hardware usage of bit[62] of the stage2 translation table block or level 3 entry" "Not possible,Possible" bitfld.long 0x00 27. "HWU61,Hardware usage of bit[61] of the stage2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.long 0x00 26. "HWU60,Hardware usage of bit[60] of the stage2 translation table block or level 3 entry" "Not possible,Possible" bitfld.long 0x00 25. "HWU59,Hardware usage of bit[59] of the stage2 translation table block or level 3 entry" "Not possible,Possible" newline bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 6.--7. "SL0,Starting level for translation table walks using VTTBR" "L2,L1,?..." newline bitfld.long 0x00 4. "S,Sign extension bit" "0,1" bitfld.long 0x00 0.--3. "T0SZ,Size offset of the memory region addressed by TTBR0" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1" elif corename()=="CORTEXA55" group.long c15:0x4212++0x00 line.long 0x00 "VTCR,Virtualization Translation Control Register" bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 6.--7. "SL0,Starting level for translation table walks using VTTBR" "L2,L1,?..." newline bitfld.long 0x00 4. "S,Sign extension bit" "0,1" bitfld.long 0x00 0.--3. "T0SZ,Size offset of the memory region addressed by TTBR0" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1" group.long c15:0x417F++0x00 line.long 0x00 "AVTCR,Auxiliary Virtualized Translation Control Register" bitfld.long 0x00 9. "HWVAL60,Indicates the value of PBHA[1] page table walks memory access if HWEN60 is set" "0,1" bitfld.long 0x00 8. "HWVAL59,Indicates the value of PBHA[1] page table walks memory access if HWEN59 is set" "0,1" newline bitfld.long 0x00 1. "HWEN60,Enables PBHA[1] page table walks memory access" "Disabled,Enabled" bitfld.long 0x00 0. "HWEN59,Enables PBHA[0] page table walks memory access" "Disabled,Enabled" endif rgroup.long c15:0x4015++0x00 line.long 0x00 "HADFSR,Hypervisor Auxiliary Data Fault Status Syndrome Register" rgroup.long c15:0x4115++0x00 line.long 0x00 "HAIFSR,Hypervisor Auxiliary Instruction Fault Status Register" group.long c15:0x4006++0x00 line.long 0x00 "HDFAR,Hypervisor Data Fault Address Register" group.long c15:0x3154++0x00 line.long 0x00 "DLR,Debug Link Register" if (((per.l(c15:0x4025))&0xFC000000)==0x0) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." elif (((per.l(c15:0x4025))&0xFC000000)==0x4000000) if (((per.l(c15:0x4025))&0x1000000)==0x1000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "TI,Trapped instruction" "WFI,WFE" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 0. "TI,Trapped instruction" "WFI,WFE" endif elif (((per.l(c15:0x4025))&0xFC000000)==(0xC000000||0x20000000||0x14000000)) if (((per.l(c15:0x4025))&0x1000000)==0x1000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. "OPC2,The Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.long 0x00 14.--16. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--13. "CRN,The CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCR,MRC/VMRS" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 17.--19. "OPC2,The Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 14.--16. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.long 0x00 10.--13. "CRN,The CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCR,MRC/VMRS" endif elif (((per.l(c15:0x4025))&0xFC000000)==(0x10000000||0x30000000)) if (((per.l(c15:0x4025))&0x1000000)==0x1000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 10.--13. "RT2,The Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCRR,MRRC" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 16.--19. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10.--13. "RT2,The Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCRR,MRRC" endif elif (((per.l(c15:0x4025))&0xFC000000)==0x18000000) if (((per.l(c15:0x4025))&0x1000000)==0x1000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.long 0x00 5.--8. "RN,The Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.long 0x00 1.--3. "AM,Addressing mode" "Imm unindexed,Imm post-indexed,Imm offset,Imm pre-indexed,Literal unindexed (A32),Reserved,Literal offset (A32),?..." newline bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "STC,LDC" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" hexmask.long.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" newline bitfld.long 0x00 5.--8. "RN,The Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" newline bitfld.long 0x00 1.--3. "AM,Addressing mode" "Imm unindexed,Imm post-indexed,Imm offset,Imm pre-indexed,Literal unindexed (A32),Reserved,Literal offset (A32),?..." bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "STC,LDC" endif elif (((per.l(c15:0x4025))&0xFC000000)==0x1C000000) if (((per.l(c15:0x4025))&0x1000000)==0x1000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 5. "TA,Indicates trapped use of Advanced SIMD functionality" "Not occurred,Occurred" bitfld.long 0x00 0.--3. "COPROC,The number of the coprocessor accessed by the trapped operation" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,CP10,?..." else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 5. "TA,Indicates trapped use of Advanced SIMD functionality" "Not occurred,Occurred" newline bitfld.long 0x00 0.--3. "COPROC,The number of the coprocessor accessed by the trapped operation" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,CP10,?..." endif elif (((per.l(c15:0x4025))&0xFC000000)==(0x44000000||0x48000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline hexmask.long.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif ((((per.l(c15:0x4025))&0xFC000000)==(0x80000000||0x84000000))&&(((per.l(c15:0x4025))&0x3F)==0x10)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 10. "FNV,FAR not Valid" "HIFAR valid,HIFAR invalid" newline bitfld.long 0x00 9. "EA,External abort type" "Internal,External" bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" newline bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Reserved,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,?..." elif ((((per.l(c15:0x4025))&0xFC000000)==(0x80000000||0x84000000))&&(((per.l(c15:0x4025))&0x3F)!=0x10)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 9. "EA,External abort type" "Internal,External" newline bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Reserved,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,?..." elif (((per.l(c15:0x4025))&0xFC000000)==(0x88000000||0x38000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." elif (((per.l(c15:0x4025))&0xFD000000)==(0x91000000||0x95000000)) if (((per.l(c15:0x4025))&0x3F)==(0x11)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid" bitfld.long 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.long 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.long 0x00 16.--19. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14. "AR,Acquire/Release semantics present" "Absent,Present" bitfld.long 0x00 10.--11. "AET,Asynchronous Error Type" "UC,UEU,UEO/CE,UER" newline bitfld.long 0x00 9. "EA,External abort type" "Internal,External" bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated" newline bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write" newline bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..." else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid" bitfld.long 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.long 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.long 0x00 16.--19. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14. "AR,Acquire/Release semantics present" "Absent,Present" bitfld.long 0x00 10. "FNV,FAR not Valid" "Valid,Invalid" newline bitfld.long 0x00 9. "EA,External abort type" "Internal,External" bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated" newline bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write" newline bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..." endif elif (((per.l(c15:0x4025))&0xFD000000)==(0x90000000||0x94000000)) if (((per.l(c15:0x4025))&0x3F)==(0x11)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid" bitfld.long 0x00 10.--11. "AET,Asynchronous Error Type" "UC,UEU,UEO/CE,UER" newline bitfld.long 0x00 9. "EA,External abort type" "Internal,External" bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated" newline bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write" newline bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..." else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid" bitfld.long 0x00 10. "FNV,FAR not Valid" "Valid,Invalid" newline bitfld.long 0x00 9. "EA,External abort type" "Internal,External" bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated" newline bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred" bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write" newline bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..." endif elif (((per.l(c15:0x4025))&0xFC080000)==(0x4C080000)) if (((per.l(c15:0x4025))&0x1000000)==(0x1000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid" bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional" endif elif (((per.l(c15:0x4025))&0xFC080000)==(0x4C000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..." bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit" newline hexmask.long 0x00 0.--24. 1. "ISS,Instruction specific syndrome" endif rgroup.long c15:0x4115++0x00 line.long 0x00 "HAIFSR,Hypervisor Auxiliary Instruction Fault Status Register" group.long c15:0x4206++0x00 line.long 0x00 "HIFAR,Hypervisor Instruction Fault Address Register" group.long c15:0x4406++0x00 line.long 0x00 "HPFAR,Hypervisor IPA Fault Address Register" hexmask.long 0x00 4.--31. 0x10 "FIPA[39:12],Bits [39:12] of the faulting intermediate physical address" tree.open "Hypervisor Memory Attribute Indirection Registers" if corename()=="CORTEXA75" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" else rgroup.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" rgroup.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" endif elif corename()=="CORTEXA55" if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" else rgroup.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" rgroup.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" endif endif rgroup.long c15:0x403A++0x00 line.long 0x00 "HAMAIR0,Hypervisor Auxiliary Memory Attribute Indirection Register 0" rgroup.long c15:0x413A++0x00 line.long 0x00 "HAMAIR1,Hypervisor Auxiliary Memory Attribute Indirection Register 1" tree.end newline group.long c15:0x400C++0x00 line.long 0x00 "HVBAR,Hypervisor Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address" tree.end tree "Cache Control and Configuration" rgroup.long c15:0x000F++0x00 line.long 0x00 "CPUCFR,CPU Configuration Register" bitfld.long 0x00 2. "SCU,Indicates whether the SCU is present or not" "Present,?..." bitfld.long 0x00 0.--1. "ECC,Indicates whether ECC is present or not" "Not present,Present,?..." group.long c15:0x072F++0x00 line.long 0x00 "CPUPWRCTLR,Power Control Register" bitfld.long 0x00 10.--12. "SIMD_RET_CTRL,Advanced SIMD and floating-point retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.long 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" bitfld.long 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" newline bitfld.long 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested" rgroup.long c15:0x0100++0x00 line.long 0x00 "CTR,Cache Type Register" bitfld.long 0x00 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x00 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x00 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.long 0x00 14.--15. "L1IP,Instruction cache policy" "Reserved,Reserved,VIPT,?..." bitfld.long 0x00 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." if (((per.l(c15:0x2000))&0x0E)==0x00) group.long c15:0x2000++0x00 line.long 0x00 "CSSELR,Cache Size Selection Register" bitfld.long 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..." bitfld.long 0x00 0. "IND,Instruction/Not Data" "Data,Instruction" else group.long c15:0x2000++0x00 line.long 0x00 "CSSELR,Cache Size Selection Register" bitfld.long 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..." bitfld.long 0x00 0. "IND,Instruction/Not Data" "Unified,?..." endif rgroup.long c15:0x1000++0x00 line.long 0x00 "CCSIDR,Cache size ID Register" bitfld.long 0x00 31. "WT,Indicates whether the selected cache level supports Write-Through" "Not Supported,?..." bitfld.long 0x00 30. "WB,Indicates whether the selected cache level supports Write-Back" "Not Supported,Supported" bitfld.long 0x00 29. "RA,Indicates whether the selected cache level supports read-allocation" "Not Supported,Supported" newline bitfld.long 0x00 28. "WA,Indicates whether the selected cache level supports write-allocation" "Not Supported,Supported" hexmask.long.word 0x00 13.--27. 1. 1. "SETS,Number of Sets" hexmask.long.word 0x00 3.--12. 1. 1. "ASSOC,Associativity" newline bitfld.long 0x00 0.--2. "LSIZE,Line Size" "Reserved,Reserved,64 bytes,?..." if corename()=="CORTEXA75" rgroup.long c15:0x1100++0x00 line.long 0x00 "CLIDR,Cache Level ID Register" bitfld.long 0x00 30.--31. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest" bitfld.long 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..." bitfld.long 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,No L3 cache,L3 cache,?..." newline bitfld.long 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..." bitfld.long 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,L3 cache,?..." bitfld.long 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..." newline bitfld.long 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..." elif corename()=="CORTEXA55" rgroup.long c15:0x1100++0x00 line.long 0x00 "CLIDR,Cache Level ID Register" bitfld.long 0x00 30.--31. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest" bitfld.long 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..." bitfld.long 0x00 24.--26. "LOC,Level of Coherency" "Reserved,No cache,L2 or L3 cache,L2 and L3 cache,?..." newline bitfld.long 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..." bitfld.long 0x00 6.--8. "CTYPE3,Cache type for levels 3" "L2 or L3 cache,Reserved,Reserved,Reserved,L2 and L3 cache,?..." bitfld.long 0x00 3.--5. "CTYPE2,Cache type for levels 2" "No cache,Reserved,Reserved,Reserved,Unified,?..." newline bitfld.long 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..." endif tree "Level 1 memory system" rgroup.long c15:0x600F++0x00 line.long 0x00 "CDBGDR0,Data Register 0" rgroup.long c15:0x610F++0x00 line.long 0x00 "CDBGDR1,Data Register 1" rgroup.long c15:0x620F++0x00 line.long 0x00 "CDBGDR2,Data Register 2" wgroup.long c15:0x602F++0x00 line.long 0x00 "CDBGDCT,Data Cache Tag Read Operation Register" wgroup.long c15:0x612F++0x00 line.long 0x00 "CDBGICT,Instruction Cache Tag Read Operation Register" wgroup.long c15:0x622F++0x00 line.long 0x00 "CDBGTT,TLB Tag Read Operation Register" wgroup.long c15:0x604F++0x00 line.long 0x00 "CDBGDCD,Data Cache Data Read Operation Register" wgroup.long c15:0x614F++0x00 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" wgroup.long c15:0x624F++0x00 line.long 0x00 "CDBGTD,TLB Data Read Operation Register" tree.end tree.end tree "System Performance Monitor" group.long c15:0x00C9++0x00 line.long 0x00 "PMCR,Performance Monitors Control Register" hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code" bitfld.long 0x00 11.--15. "N,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 6. "LC,Long cycle counter enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "DP,Disable CCNT when prohibited" "No,Yes" bitfld.long 0x00 4. "X,Export Enable" "Disabled,Enabled" bitfld.long 0x00 3. "D,Clock Divider" "Every cycle,64th cycle" bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset" newline bitfld.long 0x00 1. "P,Performance Counter Reset" "No reset,Reset" bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled" group.long c15:0x01C9++0x00 line.long 0x00 "PMCNTENSET,Performance Monitors Count Enable Set Register" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled" bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled" newline bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled" bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled" bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled" newline bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled" group.long c15:0x02C9++0x00 line.long 0x00 "PMCNTENCLR,Performance Monitors Count Enable Clear Register" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled" bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled" newline bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled" bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled" bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled" newline bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled" group.long c15:0x03C9++0x00 line.long 0x00 "PMOVSR,Performance Monitors Overflow Status Flags Register" eventfld.long 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow" eventfld.long 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow" eventfld.long 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow" newline eventfld.long 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow" eventfld.long 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow" eventfld.long 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow" newline eventfld.long 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow" wgroup.long c15:0x04C9++0x00 line.long 0x00 "PMSWINC,Performance Monitors Software Increment Register" bitfld.long 0x00 5. "P5,PMN5 software increment" "Disabled,Enabled" bitfld.long 0x00 4. "P4,PMN4 software increment" "Disabled,Enabled" bitfld.long 0x00 3. "P3,PMN3 software increment" "Disabled,Enabled" newline bitfld.long 0x00 2. "P2,PMN2 software increment" "Disabled,Enabled" bitfld.long 0x00 1. "P1,PMN1 software increment" "Disabled,Enabled" bitfld.long 0x00 0. "P0,PMN0 software increment" "Disabled,Enabled" group.long c15:0x05C9++0x00 line.long 0x00 "PMSELR,Performance Monitors Event Counter Selection Register" bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.open "Common Event Identification Registers" rgroup.long c15:0x06C9++0x00 line.long 0x00 "PMCEID0,Performance Monitors Common Event Identification Register 0" bitfld.long 0x00 31. "L1D_CACHE_ALLOCATE,Level 1 data cache allocate" "Not implemented,Implemented" bitfld.long 0x00 30. "CHAIN,Chain" "Not implemented,Implemented" bitfld.long 0x00 29. "BUS_CYCLES,Bus cycle" "Not implemented,Implemented" newline bitfld.long 0x00 28. "TTBR_WRITE_RETIRED,TTBR write retired" "Not implemented,Implemented" bitfld.long 0x00 27. "INST_SPEC,Instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 26. "MEMORY_ERROR,Local memory error" "Not implemented,Implemented" newline bitfld.long 0x00 25. "BUS_ACCESS,Bus access" "Not implemented,Implemented" bitfld.long 0x00 24. "L2D_CACHE_WB,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 23. "L2D_CACHE_REFILL,Level 2 data cache refill" "Not implemented,Implemented" newline bitfld.long 0x00 22. "L2D_CACHE,Level 2 data cache access" "Not implemented,Implemented" bitfld.long 0x00 21. "L1D_CACHE_WB,Level 1 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 20. "L1I_CACHE,Level 1 instruction cache access" "Not implemented,Implemented" newline bitfld.long 0x00 19. "MEM_ACCESS,Data memory access" "Not implemented,Implemented" bitfld.long 0x00 18. "BR_PRED,Predictable branch speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 17. "CPU_CYCLES,CPU Cycle" "Not implemented,Implemented" newline bitfld.long 0x00 16. "BR_MIS_PRED,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 15. "UNALIGNED_LDST_RETIRED,UNALIGNED_LDST_RETIRED" "Not implemented,Implemented" bitfld.long 0x00 14. "BR_RETURN_RETIRED,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented" newline bitfld.long 0x00 13. "BR_IMMED_RETIRED,Instruction architecturally executed immediate branch" "Not implemented,Implemented" bitfld.long 0x00 12. "PC_WRITE_RETIRED,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented" bitfld.long 0x00 11. "CID_WRITE_RETIRED,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented" newline bitfld.long 0x00 10. "EXC_RETURN,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented" bitfld.long 0x00 9. "EXC_TAKEN,Exception taken" "Not implemented,Implemented" bitfld.long 0x00 8. "INST_RETIRED,Instruction architecturally executed" "Not implemented,Implemented" newline bitfld.long 0x00 7. "ST_RETIRED,Instruction architecturally executed condition check pass store" "Not implemented,Implemented" bitfld.long 0x00 6. "LD_RETIRED,Instruction architecturally executed condition check pass load" "Not implemented,Implemented" bitfld.long 0x00 5. "L1D_TLB_REFILL,Level 1 data TLB refill" "Not implemented,Implemented" newline bitfld.long 0x00 4. "L1D_CACHE,Level 1 data cache access" "Not implemented,Implemented" bitfld.long 0x00 3. "L1D_CACHE_REFILL,Level 1 data cache refill" "Not implemented,Implemented" bitfld.long 0x00 2. "L1I_TLB_REFILL,Level 1 instruction TLB refill" "Not implemented,Implemented" newline bitfld.long 0x00 1. "L1I_CACHE_REFILL,Level 1 instruction cache refill" "Not implemented,Implemented" bitfld.long 0x00 0. "SW_INCR,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented" if corename()=="CORTEXA75" rgroup.long c15:0x07C9++0x00 line.long 0x00 "PMCEID1,Performance Monitors Common Event Identification Register 1" bitfld.long 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented" bitfld.long 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented" bitfld.long 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented" newline bitfld.long 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented" bitfld.long 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented" bitfld.long 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented" newline bitfld.long 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented" bitfld.long 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented" bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented" newline bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented" bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented" bitfld.long 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented" newline bitfld.long 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented" bitfld.long 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented" bitfld.long 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented" newline bitfld.long 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented" bitfld.long 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented" elif corename()=="CORTEXA55" rgroup.long c15:0x07C9++0x00 line.long 0x00 "PMCEID1,Performance Monitors Common Event Identification Register 1" bitfld.long 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented" bitfld.long 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented" bitfld.long 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented" newline bitfld.long 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented" bitfld.long 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented" bitfld.long 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented" newline bitfld.long 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented" bitfld.long 0x00 14. "L2I_TLB_REFILL,Attributable Level 2 instruction TLB refill" "Not implemented,Implemented" bitfld.long 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented" newline bitfld.long 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Not implemented,Implemented" bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented" bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented" newline bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented" bitfld.long 0x00 8. "L2I_CACHE_REFILL,Attributable Level 2 instruction cache refill" "Not implemented,Implemented" bitfld.long 0x00 7. "L2I_CACHE,Attributable Level 2 instruction cache access" "Not implemented,Implemented" newline bitfld.long 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented" bitfld.long 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented" bitfld.long 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented" newline bitfld.long 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented" bitfld.long 0x00 2. "BR_MIS_PRED_RETIRED,Instruction architecturally executed mispredicted branch" "Not implemented,Implemented" bitfld.long 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented" newline bitfld.long 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented" endif rgroup.long c15:0x04E9++0x00 line.long 0x00 "PMCEID2,Performance Monitors Common Event Identification Register 2" rgroup.long c15:0x05E9++0x00 line.long 0x00 "PMCEID3,Performance Monitors Common Event Identification Register 3" tree.end newline group.long c15:0x00D9++0x00 line.long 0x00 "PMCCNTR[31:0],Performance Monitors Cycle Counter (32bit access)" group.quad c15:0x13090++0x01 line.quad 0x00 "PMCCNTR[63:0],Performance Monitors Cycle Counter (64bit access)" if (((per.l(c15:0X05C9))&0x1F)==0x1F) group.long c15:0x01D9++0x00 line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register - PMCCFILTR" bitfld.long 0x00 31. "P,Privileged modes filtering" "Disabled,Enabled" bitfld.long 0x00 30. "U,User modes filtering" "Disabled,Enabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering" "Disabled,Enabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering" "Disabled,Enabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering" "Disabled,Enabled" elif (((per.l(c15:0X00E9))&0x1)==0x1) group.long c15:0x01D9++0x00 line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register - PMEVTYPER" bitfld.long 0x00 31. "P,Privileged modes filtering" "Disabled,Enabled" bitfld.long 0x00 30. "U,User modes filtering" "Disabled,Enabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering" "Disabled,Enabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering" "Disabled,Enabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering" "Disabled,Enabled" bitfld.long 0x00 26. "M,Secure EL3 filtering" "Disabled,Enabled" newline hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event number" else rgroup.long c15:0x01D9++0x00 line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register" endif group.long c15:0x02D9++0x00 line.long 0x00 "PMXEVCNTR,Performance Monitors Selected Event Counter Register" group.long c15:0x00E9++0x00 line.long 0x00 "PMUSERENR,Performance Monitors User Enable Register" bitfld.long 0x00 3. "ER,Event counter read enable" "Disabled,Enabled" bitfld.long 0x00 2. "CR,Cycle counter read enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled" bitfld.long 0x00 0. "EN,User enable" "Disabled,Enabled" group.long c15:0x01E9++0x00 line.long 0x00 "PMINTENSET,Performance Monitors Interrupt Enable Set Register" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.long c15:0x02E9++0x00 line.long 0x00 "PMINTENCLR,Performance Monitors Interrupt Enable Clear Register" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.long c15:0x03E9++0x00 line.long 0x00 "PMOVSSET,Performance Monitors Overflow Flag Status Set Register" bitfld.long 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow" bitfld.long 0x00 5. "P5,PMEVCNTR5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 4. "P4,PMEVCNTR4 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 3. "P3,PMEVCNTR3 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. "P2,PMEVCNTR2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. "P1,PMEVCNTR1 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "P0,PMEVCNTR0 Overflow Interrupt Enable" "Disabled,Enabled" group.long c15:0x8E++0x00 line.long 0x00 "PMEVCNTR0,Performance Monitors Event Count Register 0" group.long c15:(0x8E+0x0040)++0x00 line.long 0x00 "PMEVTYPER0,Performance Monitors Event Type Register 0" group.long c15:0x18E++0x00 line.long 0x00 "PMEVCNTR1,Performance Monitors Event Count Register 1" group.long c15:(0x18E+0x0040)++0x00 line.long 0x00 "PMEVTYPER1,Performance Monitors Event Type Register 1" group.long c15:0x28E++0x00 line.long 0x00 "PMEVCNTR2,Performance Monitors Event Count Register 2" group.long c15:(0x28E+0x0040)++0x00 line.long 0x00 "PMEVTYPER2,Performance Monitors Event Type Register 2" group.long c15:0x38E++0x00 line.long 0x00 "PMEVCNTR3,Performance Monitors Event Count Register 3" group.long c15:(0x38E+0x0040)++0x00 line.long 0x00 "PMEVTYPER3,Performance Monitors Event Type Register 3" group.long c15:0x48E++0x00 line.long 0x00 "PMEVCNTR4,Performance Monitors Event Count Register 4" group.long c15:(0x48E+0x0040)++0x00 line.long 0x00 "PMEVTYPER4,Performance Monitors Event Type Register 4" group.long c15:0x58E++0x00 line.long 0x00 "PMEVCNTR5,Performance Monitors Event Count Register 5" group.long c15:(0x58E+0x0040)++0x00 line.long 0x00 "PMEVTYPER5,Performance Monitors Event Type Register 5" group.long c15:0x07FE++0x00 line.long 0x00 "PMCCFILTR,Performance Monitors Cycle Count Filter Register" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Disabled,Enabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Disabled,Enabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Disabled,Enabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" tree.end tree "System Timer Registers" group.long c15:0x000E++0x00 line.long 0x00 "CNTFRQ,Counter Frequency Register" group.quad c15:0x100E0++0x01 line.quad 0x00 "CNTPCT,Counter Physical Count Register" rgroup.quad c15:0x110E0++0x01 line.quad 0x00 "CNTVCT,Counter Virtual Count Register" group.quad c15:0x140E0++0x01 line.quad 0x00 "CNTVOFF,Counter Virtual Offset Register" group.long c15:0x001E++0x00 line.long 0x00 "CNTKCTL,Timer PL1 Control Register" bitfld.long 0x00 9. "EL0PTEN,Controls whether the physical timer Registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.long 0x00 8. "EL0VTEN,Controls whether the virtual timer Registers are accessible from EL0 mode" "Disabled,Enabled" bitfld.long 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from the virtual counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" newline bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" bitfld.long 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency Register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" bitfld.long 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency Register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled" group.long c15:0x401E++0x00 line.long 0x00 "CNTHCTL,Counter Non-secure PL2 Control Register" bitfld.long 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTPCT trigger bit, defined by EVNTI" "0 to 1,1 to 0" bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled" bitfld.long 0x00 1. "EL1PCEN,Controls whether the Non-secure copies of the physical timer Registers are accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" newline bitfld.long 0x00 0. "EL1PCTEN,Controls whether the physical counter, CNTPCT, is accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" group.long c15:0x002E++0x00 line.long 0x00 "CNTP_TVAL,Counter EL1 Physical Compare Value Register" group.long c15:0x012E++0x00 line.long 0x00 "CNTP_CTL,Counter EL1 Physical Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad c15:0x120E0++0x01 line.quad 0x00 "CNTP_CVAL,Counter EL1 Physical Compare Value Register" group.long c15:0x003E++0x00 line.long 0x00 "CNTV_TVAL,Counter EL1 Virtual Timer Value Register" group.long c15:0x013E++0x00 line.long 0x00 "CNTV_CTL,Counter EL1 Virtual Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad c15:0x130E0++0x01 line.quad 0x00 "CNTV_CVAL,Counter EL1 Virtual Compare Value Register" group.long c15:0x402E++0x00 line.long 0x00 "CNTHP_TVAL,Counter Non-secure EL2 Physical Timer Value Register" group.long c15:0x412E++0x00 line.long 0x00 "CNTHP_CTL,Counter Non-secure EL2 Physical Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad c15:0x160E0++0x01 line.quad 0x00 "CNTHP_CVAL,Counter Non-secure EL2 Physical Compare Value Register" tree.end tree "Generic Interrupt Controller System Registers" tree "AArch32 Physical GIC CPU Interface System Registers" tree.open "Interrupt Controller Active Priorities Registers" group.long c15:0x048C++0x00 line.long 0x00 "ICC_AP0R0,Active Priorities Group 0 Register 0" bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.long c15:0x009C++0x00 line.long 0x00 "ICC_AP1R0,Active Priorities Group 1 Register 0" bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline if (((per.l(c15:0x110C0))&0x10000000000)==0x00) wgroup.quad c15:0x110C0++0x01 line.quad 0x00 "ICC_ASGI1R,Interrupt Controller Alias Software Generated Interrupt Group 1 Register" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated" else wgroup.quad c15:0x110C0++0x01 line.quad 0x00 "ICC_ASGI1R,Interrupt Controller Alias Software Generated Interrupt Group 1 Register" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline endif group.long c15:0x038C++0x00 line.long 0x00 "ICC_BPR0,Binary Point Register 0" bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" group.long c15:0x03CC++0x00 line.long 0x00 "ICC_BPR1,Binary Point Register 1" bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" group.long c15:0x04CC++0x00 line.long 0x00 "ICC_CTLR,Interrupt Control Registers for EL1" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported" rbitfld.long 0x00 14. "SEIS,SEI Support" "Not supported,?..." rbitfld.long 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..." newline rbitfld.long 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" bitfld.long 0x00 1. "EOIMODE,Controls whether a write to an End of Interrupt Register also deactivates the interrupt" "Enabled,Disabled" newline bitfld.long 0x00 0. "CBPR,Common Binary Point Register. Controls whether the same Register is used for interrupt pre-emption of both Group 0 and Group 1 interrupt" "Separate Registers,Same Register" group.long c15:0x64CC++0x00 line.long 0x00 "ICC_MCTLR,Interrupt Control Registers for EL3" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.long 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported" rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported" rbitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports generation of SEIs" "Not supported,?..." newline rbitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..." rbitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" newline bitfld.long 0x00 4. "EOIMODE_EL1NS,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(Non-secure EL1 and EL2)" "Enabled,Disabled" bitfld.long 0x00 3. "EOIMODE_EL1S,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(Secure EL1)" "Enabled,Disabled" bitfld.long 0x00 2. "EOIMODE_EL3,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(EL3)" "Enabled,Disabled" newline bitfld.long 0x00 1. "CBPR_EL1NS,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1" "Separate Registers,Same Register" bitfld.long 0x00 0. "CBPR_EL1S,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Secure interrupts in Secure non-Monitor modes" "Separate Registers,Same Register" wgroup.long c15:0x01BC++0x00 line.long 0x00 "ICC_DIR,Deactivate Interrupt Register" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated" wgroup.long c15:0x018C++0x00 line.long 0x00 "ICC_EOIR0,End Of Interrupt Register 0" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0 access" wgroup.long c15:0x01CC++0x00 line.long 0x00 "ICC_EOIR1,End Of Interrupt Register 1" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1 access." rgroup.long c15:0x028C++0x00 line.long 0x00 "ICC_HPPIR0,Highest Priority Pending Interrupt Register 0" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.long c15:0x02CC++0x00 line.long 0x00 "ICC_HPPIR1,Highest Priority Pending Interrupt Register 1" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.long c15:0x008C++0x00 line.long 0x00 "ICC_IAR0,Interrupt Acknowledge Register 0" rgroup.long c15:0x00CC++0x00 line.long 0x00 "ICC_IAR1,Interrupt Acknowledge Register 1" group.long c15:0x06CC++0x00 line.long 0x00 "ICC_IGRPEN0,Interrupt Group Enable Register 0" bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled" group.long c15:0x07CC++0x00 line.long 0x00 "ICC_IGRPEN1,Interrupt Group Enable Register 1" bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled" group.long c15:0x0064++0x00 line.long 0x00 "ICC_PMR,Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface" rgroup.long c15:0x03BC++0x00 line.long 0x00 "ICC_RPR,Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface" if (((per.q(c15:0x120C0))&0x10000000000)==0x00) group.quad c15:0x120C0++0x01 line.quad 0x00 "ICC_SGI0R,Interrupt Controller Software Generated Interrupt Group 0 Register" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" newline bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated." else group.quad c15:0x120C0++0x01 line.quad 0x00 "ICC_SGI0R,Interrupt Controller Software Generated Interrupt Group 0 Register" bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self" bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif group.long c15:0x05CC++0x00 line.long 0x00 "ICC_SRE,System Register Enable Register for EL1" bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" group.long c15:0x459C++0x00 line.long 0x00 "ICC_HSRE,System Register Enable Register for EL2" rbitfld.long 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1" "Reserved,Enabled" bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" group.long c15:0x65CC++0x00 line.long 0x00 "ICC_MSRE,System Register Enable Register for EL3" rbitfld.long 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1 and ICC_SRE_EL2" "Reserved,Enabled" bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled" group.long c15:0x67CC++0x00 line.long 0x00 "ICC_MGRPEN1,Monitor Group1 Interrupt Group Enable" bitfld.long 0x00 1. "ENABLEGRP1S,Enables Group 1 interrupts for the Secure state" "Disabled,Enabled" bitfld.long 0x00 0. "ENABLEGRP1NS,Enables Group 1 interrupts for the Non-secure state" "Disabled,Enabled" tree.end tree "AArch32 Virtual GIC CPU Interface System Registers" tree.open "Interrupt Controller Active Priorities Registers" group.long c15:0x048C++0x00 line.long 0x00 "ICV_AP0R0,Active Priorities Group 0 Register 0" bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.long c15:0x009C++0x00 line.long 0x00 "ICV_AP1R0,Active Priorities Group 1 Register 0" bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline group.long c15:0x038C++0x00 line.long 0x00 "ICV_BPR0,Binary Point Register 0" bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" group.long c15:0x03CC++0x00 line.long 0x00 "ICV_BPR1,Binary Point Register 1" bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" group.long c15:0x4CC++0x00 line.long 0x00 "ICV_CTLR,Control Register" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline bitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported" bitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,?..." bitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..." newline bitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1. "VEOIMODE,Controls whether a write to an End of Interrupt Register also deactivates the interrupt" "Disabled,Enabled" newline bitfld.long 0x00 0. "VCBPR,Controls whether the same Register is used for interrupt preemption of both virtual Group 0 and virtual Group 1 interrupts" "Separate Registers,Same Register" wgroup.long c15:0x01BC++0x00 line.long 0x00 "ICV_DIR,Deactivate Interrupt Register" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated" wgroup.long c15:0x018C++0x00 line.long 0x00 "ICV_EOIR0,End Of Interrupt Register 0" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0 access" wgroup.long c15:0x01CC++0x00 line.long 0x00 "ICV_EOIR1,End Of Interrupt Register 1" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1 access" rgroup.long c15:0x028C++0x00 line.long 0x00 "ICV_HPPIR0,Highest Priority Pending Interrupt Register 0" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.long c15:0x02CC++0x00 line.long 0x00 "ICV_HPPIR1,Highest Priority Pending Interrupt Register 1" hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.long c15:0x008C++0x00 line.long 0x00 "ICV_IAR0,Interrupt Acknowledge Register 0" rgroup.long c15:0x00CC++0x00 line.long 0x00 "ICV_IAR1,Interrupt Acknowledge Register 1" group.long c15:0x06CC++0x00 line.long 0x00 "ICV_IGRPEN0,Interrupt Group 0 Enable Register" bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled" group.long c15:0x07CC++0x00 line.long 0x00 "ICV_IGRPEN1,Interrupt Group 1 Enable Register" bitfld.long 0x00 0. "ENABLE,Enables Group 1 interrupts" "Disabled,Enabled" newline group.long c15:0x064CC++0x00 line.long 0x00 "ICV_MCTLR,Monitor Control Register" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.long 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported" rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported" rbitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports generation of SEIs" "Not supported,?..." newline rbitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..." rbitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" newline bitfld.long 0x00 4. "EOIMODE_EL1NS,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (Non-secure EL1 and EL2)" "Priority drop/Deactivation,Priority drop" bitfld.long 0x00 3. "EOIMODE_EL1S,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (Secure EL1)" "Enabled,Disabled" bitfld.long 0x00 2. "EOIMODE_EL3,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (EL3)" "Enabled,Disabled" newline bitfld.long 0x00 1. "CBPR_EL1NS,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1" "Separate Registers,Same Register" bitfld.long 0x00 0. "CBPR_EL1S,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Secure interrupts in Secure non-Monitor modes" "Separate Registers,Same Register" group.long c15:0x67CC++0x00 line.long 0x00 "ICV_MGRPEN1,Monitor Interrupt Group 1 Enable Register" bitfld.long 0x00 1. "ENABLEGRP1S,Enables Group 1 interrupts for the Secure state" "Disabled,Enabled" bitfld.long 0x00 0. "ENABLEGRP1NS,Enables Group 1 interrupts for the Non-secure state" "Disabled,Enabled" group.long c15:0x0064++0x00 line.long 0x00 "ICV_PMR,Interrupt Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,The priority mask level for the CPU interface" rgroup.long c15:0x03BC++0x00 line.long 0x00 "ICV_RPR,Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,The current running priority on the CPU interface" tree.end tree "AArch32 Virtual Interface Control System Registers" tree.open "Hypervisor Active Priorities Registers" group.long c15:0x408C++0x00 line.long 0x00 "ICH_AP0R0,Hypervisor Active Priorities Group 0 Register 0" bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.long c15:0x409C++0x00 line.long 0x00 "ICH_AP1R0,Hypervisor Active Priorities Group 1 Register 0" bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline rgroup.long c15:0x438C++0x00 line.long 0x00 "ICH_EISR,End of Interrupt Status Register" bitfld.long 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List Register 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List Register 2" "No interrupt,Interrupt" bitfld.long 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List Register 1" "No interrupt,Interrupt" newline bitfld.long 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List Register 0" "No interrupt,Interrupt" rgroup.long c15:0x458C++0x00 line.long 0x00 "ICH_ELRSR,Empty List Register Status Register" bitfld.long 0x00 3. "STATUS3,Status bit for List Register 3" "Interrupt,No interrupt" bitfld.long 0x00 2. "STATUS2,Status bit for List Register 2" "Interrupt,No interrupt" bitfld.long 0x00 1. "STATUS1,Status bit for List Register 1" "Interrupt,No interrupt" newline bitfld.long 0x00 0. "STATUS0,Status bit for List Register 0" "Interrupt,No interrupt" group.long c15:0x40BC++0x00 line.long 0x00 "ICH_HCR,Hypervisor Control Register" bitfld.long 0x00 27.--31. "EOICOUNT,This field is incremented whenever a successful write to a virtual EOIR or DIR Register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR and ICV_DIR" "No trap,Trap" bitfld.long 0x00 13. "TSEI,Trap all locally generated SEIs" "No trap,Trap" newline bitfld.long 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* and ICV_* System Registers for Group 1 interrupts to EL2" "No trap,Trap" bitfld.long 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* and ICV_* System Registers for Group 0 interrupts to EL2" "No trap,Trap" bitfld.long 0x00 10. "TC,Trap all Non-secure EL1 accesses to System Registers that are common to Group 0 and Group 1 to EL2" "No trap,Trap" newline bitfld.long 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled" group.long c15:(0x40CC+0x0)++0x00 line.long 0x00 "ICH_LR0,List Register 0" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x100)++0x00 line.long 0x00 "ICH_LR1,List Register 1" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x200)++0x00 line.long 0x00 "ICH_LR2,List Register 2" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x300)++0x00 line.long 0x00 "ICH_LR3,List Register 3" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40EC+0x0)++0x00 line.long 0x00 "ICH_LRC0,List Register Extension 0" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x100)++0x00 line.long 0x00 "ICH_LRC1,List Register Extension 1" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x200)++0x00 line.long 0x00 "ICH_LRC2,List Register Extension 2" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x300)++0x00 line.long 0x00 "ICH_LRC3,List Register Extension 3" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" rgroup.long c15:0x42BC++0x00 line.long 0x00 "ICH_MISR,Maintenance Interrupt State Register" bitfld.long 0x00 7. "VGRP1D,VPE Group 1 Disabled" "Not asserted,Asserted" bitfld.long 0x00 6. "VGRP1E,VPE Group 1 Enabled" "Not asserted,Asserted" bitfld.long 0x00 5. "VGRP0D,VPE Group 0 Disabled" "Not asserted,Asserted" newline bitfld.long 0x00 4. "VGRP0E,VPE Group 0 Enabled" "Not asserted,Asserted" bitfld.long 0x00 3. "NP,No Pending" "Not asserted,Asserted" bitfld.long 0x00 2. "LRENP,List Register Entry Not Present" "Not asserted,Asserted" newline bitfld.long 0x00 1. "U,Underflow" "Not asserted,Asserted" bitfld.long 0x00 0. "EOI,End Of Interrupt" "Not asserted,Asserted" group.long c15:0x47BC++0x00 line.long 0x00 "ICH_VMCR,Virtual Machine Control Register" hexmask.long.byte 0x00 24.--31. 1. "VPMR,The priority mask level for the virtual CPU interface" bitfld.long 0x00 21.--23. "VBPR0,Virtual Binary Point Register Group 0" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" bitfld.long 0x00 18.--20. "VBPR1,Virtual Binary Point Register, Group 1" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" newline bitfld.long 0x00 9. "VEOIM,Controls whether a write to an End of Interrupt Register also deactivates the virtual interrupt" "Disabled,Enabled" bitfld.long 0x00 4. "VCBPR,Virtual Common Binary Point Register" "Separate Registers,Same Register" bitfld.long 0x00 3. "VFIQEN,Virtual FIQ enable" "Reserved,Virtual FIQs" newline bitfld.long 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled" group.long c15:0x449C++0x00 line.long 0x00 "ICH_VSEIR,Virtual System Error Interrupt Register" rgroup.long c15:0x41BC++0x00 line.long 0x00 "ICH_VTR,VGIC Type Register" bitfld.long 0x00 29.--31. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented, minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 23.--25. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..." newline bitfld.long 0x00 22. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,?..." bitfld.long 0x00 21. "A3V,Affinity 3 Valid" "Reserved,Supported" bitfld.long 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported,?..." newline bitfld.long 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Reserved,Supported" bitfld.long 0x00 0.--4. "LISTREGS,The number of implemented List Registers minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree.end tree "Debug Registers" tree "Coresight Management Registers" if corename()=="CORTEXA75" rgroup.long c14:0x0000++0x0 line.long 0x0 "DBGDIDR,Debug ID Register" bitfld.long 0x0 28.--31. "WRP,Number of Watchpoint Register Pairs" "Reserved,Reserved,Reserved,4,?..." bitfld.long 0x0 24.--27. "BRP,Number of Breakpoint Register Pairs" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." bitfld.long 0x0 20.--23. "CTX_CMP,Number of BRPs with Context ID Comparison Capability" "Reserved,2,?..." newline bitfld.long 0x00 16.--19. "VERSION,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8.2,?..." bitfld.long 0x0 14. "NSUHD,Secure User halting debug-mode" "Reserved,Not supported" bitfld.long 0x0 12. "SE,Security Extensions implemented" "Reserved,Implemented" elif corename()=="CORTEXA55" rgroup.long c14:0x0000++0x0 line.long 0x0 "DBGDIDR,Debug ID Register" bitfld.long 0x0 28.--31. "WRP,Number of Watchpoint Register Pairs" "Reserved,Reserved,Reserved,4,?..." bitfld.long 0x0 24.--27. "BRP,Number of Breakpoint Register Pairs" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." bitfld.long 0x0 20.--23. "CTX_CMP,Number of BRPs with Context ID Comparison Capability" "Reserved,2,?..." newline bitfld.long 0x00 16.--19. "VERSION,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8.2,?..." bitfld.long 0x0 14. "NSUHD,Secure User halting debug-mode" "Reserved,Not supported" bitfld.long 0x0 12. "SE,Security Extensions implemented" "Reserved,Implemented" endif rgroup.long c14:0x0060++0x0 line.long 0x00 "DBGWFAR,Watchpoint Fault Address Register" group.long c14:0x0070++0x0 line.long 0x00 "DBGVCR,Debug Vector Catch register" bitfld.long 0x00 31. "FIQVCE_NS,FIQ vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 30. "IRQVCE_NS,IRG vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 28. "DAVCE_NS,Data Abort vector catch in Non-secure state" "Disabled,Enabled" newline bitfld.long 0x00 27. "PAVCE_NS,Prefetch Abort vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 26. "SVCVCE_NS,SVC vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 25. "UIVCE_NS,Undefined instruction vector catch in Non-secure state" "Disabled,Enabled" newline bitfld.long 0x00 23. "FIQ,HVBAR: FIQ" "Disabled,Enabled" bitfld.long 0x00 22. "IRQ,HVBAR: IRQ" "Disabled,Enabled" bitfld.long 0x00 21. "HEE,HVBAR: Hyp Entry Exception" "Disabled,Enabled" newline bitfld.long 0x00 20. "DA,HVBAR: Data Abort" "Disabled,Enabled" bitfld.long 0x00 19. "PA,HVBAR: Prefetch Abort" "Disabled,Enabled" bitfld.long 0x00 18. "HVC,HVBAR: HVC" "Disabled,Enabled" newline bitfld.long 0x00 17. "UI,HVBAR: Undefined Instruction" "Disabled,Enabled" bitfld.long 0x00 15. "FIQVCE_SM,FIQ vector catch enable, in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 14. "IRQVCE_SM,IRQ vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" newline bitfld.long 0x00 12. "DAVCE_SM,Data Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 11. "PAVCE_SM,Prefetch Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 10. "SMCVCE_S,SMC vector catch enable in Secure state" "Disabled,Enabled" newline bitfld.long 0x00 7. "FIQVCE_S,FIQ vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 6. "IRQVCE_S,IRG vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 4. "DAVCE_S,Data Abort vector catch in Secure state" "Disabled,Enabled" newline bitfld.long 0x00 3. "PAVCE_S,Prefetch Abort vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 2. "SVCVCE_S,SVC vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 1. "UIVCE_S,Undefined instruction vector catch in Secure state" "Disabled,Enabled" newline bitfld.long 0x00 0. "RVCE,Reset vector catch enable" "Disabled,Enabled" group.long c14:0x0200++0x0 line.long 0x00 "DBGDTRRXEXT,Debug Receive Register (External View)" rgroup.long c14:0x0050++0x0 line.long 0x00 "DBGDTRRXINT,Debug Receive Register (Internal View)" group.long c14:0x0020++0x00 line.long 0x00 "DBGDCCINT,Debug Comms Channel Interrupt Enable register" bitfld.long 0x00 30. "RX,DCC interrupt enable controls" "Disabled,Enabled" bitfld.long 0x00 29. "TX,DCC interrupt enable controls" "Disabled,Enabled" ; For DBGDSCRint, bits 28 - 19, 14 - 13, 11 - 6, 1 - 0 are RES0. ; DBGDSCRint is read only. rgroup.long c14:0x0010++0x0 line.long 0x00 "DBGDSCRINT,Debug Status and Control Register (Internal View)" bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure" newline bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled" newline bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes" bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,Software Breakpoint (BKPT),Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Watchpoint,?..." ; For DBGDSCRext, bits 25 - 24, 20, 13, 11 - 7, 1 - 0 are RES0. ; DBGDSCRext is read/write only. group.long c14:0x0220++0x0 line.long 0x00 "DBGDSCREXT,Debug Status and Control Register (External View)" bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. "RXO,DBGDTRRX overflow" "No overflow,Overflow" newline bitfld.long 0x00 26. "TXU,DBGDTRTX underflow" "No underflow,Underflow" bitfld.long 0x00 22.--23. "INTDIS,Interrupt disable" "Don't disable interrupts,Disable interrupts targeting non-sec EL1,Disable interrupts targeting EL1 & EL2,Disable all interrupts" bitfld.long 0x00 21. "TDA,Trap debug register access" "No trap,Trap" newline bitfld.long 0x00 19. "SC2,Sample CONTEXTIDR_EL2" "VTTBR_EL2.VMID,CONTEXTIDR_EL2" bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure" bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes" newline bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled" bitfld.long 0x00 14. "HDE,Halting debug-mode" "Disabled,Enabled" newline bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes" bitfld.long 0x00 7. "ADABORT,Asynchronous data abort" "Not aborted,Aborted" bitfld.long 0x00 6. "ERR,Cumulative error flag" "Not error,Error" newline bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,Software Breakpoint (BKPT),Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Watchpoint,?..." group.long c14:0x0230++0x0 line.long 0x00 "DBGDTRTXEXT,Debug Transmit Register (External View)" wgroup.long c14:0x0050++0x0 line.long 0x00 "DBGDTRTXINT,Debug Transmit Register (Internal View)" if (((per.l(c14:0x0411))&0x2)==0x2) group.long c14:0x0260++0x0 line.long 0x0 "DBGOSECCR,Debug OS Lock Exception Catch Control Register" else rgroup.long c14:0x0260++0x0 line.long 0x0 "DBGOSECCR,Debug OS Lock Exception Catch Control Register" endif rgroup.long c14:0x0707++0x0 line.long 0x0 "DBGDEVID2,Debug Device ID Register 2" rgroup.long c14:0x0717++0x0 line.long 0x0 "DBGDEVID1,Debug Device ID Register 1" rgroup.long c14:0x0727++0x0 line.long 0x0 "DBGDEVID,Debug Device ID Register 0" bitfld.long 0x0 28.--31. "CIDMASK,Level of support for the Context ID matching breakpoint masking capability" "Not implemented,?..." bitfld.long 0x0 24.--27. "AR,Debug External Auxiliary Control Register support status" "Not supported,?..." bitfld.long 0x0 20.--23. "DL,Support for Debug OS Double Lock Register" "Reserved,Supported,?..." newline bitfld.long 0x0 16.--19. "VE,Specifies implementation of Virtualization Extension" "Reserved,Implemented,?..." bitfld.long 0x0 12.--15. "VC,Form of the vector catch event implemented" "Implemented,?..." bitfld.long 0x0 8.--11. "BPAM,Level of support for Immediate Virtual Address matching breakpoint masking capability" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" newline bitfld.long 0x0 4.--7. "WPAM,Level of support for the DVA matching watchpoint masking capability" "Reserved,Implemented,?..." tree.end newline rgroup.quad c14:0x10010++0x1 line.quad 0x0 "DBGDRAR,Debug ROM Address Register" rgroup.quad c14:0x10020++0x1 line.quad 0x0 "DBGDSAR,Debug Self Address Offset Register" wgroup.long c14:0x0401++0x00 line.long 0x00 "DBGOSLAR,Operating System Lock Access Register" rgroup.long c14:0x0411++0x00 line.long 0x00 "DBGOSLSR,Operating System Lock Status Register" bitfld.long 0x00 2. "NTT,32-Bit Access" "Not required,Required" bitfld.long 0x00 1. "OSLK,Status of the OS Lock" "Not locked,Locked" bitfld.long 0x00 0. 3. "OSLM,OS Lock Model implemented Bit" "Reserved,Reserved,Implemented,?..." group.long c14:0x0431++0x00 line.long 0x00 "DBGOSDLR,OS Double-lock Register" bitfld.long 0x00 0. "DLK,OS double-lock control" "Not locked,Locked" group.long c14:0x0441++0x00 line.long 0x00 "DBGPRCR,Device Power-Down and Reset Control Register" bitfld.long 0x00 0. "CORENPDRQ,Core No Power down Request" "Powered down,Emulated" group.long c14:0x0687++0x00 line.long 0x00 "DBGCLAIMSET,Claim Tag register Set" bitfld.long 0x0 7. "CT7,Claim Tag 7 Set" "Not set,Set" bitfld.long 0x0 6. "CT6,Claim Tag 6 Set" "Not set,Set" bitfld.long 0x0 5. "CT5,Claim Tag 5 Set" "Not set,Set" newline bitfld.long 0x0 4. "CT4,Claim Tag 4 Set" "Not set,Set" bitfld.long 0x0 3. "CT3,Claim Tag 3 Set" "Not set,Set" bitfld.long 0x0 2. "CT2,Claim Tag 2 Set" "Not set,Set" newline bitfld.long 0x0 1. "CT1,Claim Tag 1 Set" "Not set,Set" bitfld.long 0x0 0. "CT0,Claim Tag 0 Set" "Not set,Set" group.long c14:0x0697++0x00 line.long 0x00 "DBGCLAIMCLR,Claim Tag register Clear" bitfld.long 0x0 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared" bitfld.long 0x0 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared" bitfld.long 0x0 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared" newline bitfld.long 0x0 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared" bitfld.long 0x0 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared" bitfld.long 0x0 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared" newline bitfld.long 0x0 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared" bitfld.long 0x0 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared" rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" rgroup.long c14:0x7000++0x00 "Jazelle Registers" line.long 0x0 "JIDR,Jazelle ID Register" rgroup.long c14:0x7001++0x00 line.long 0x0 "JOSCR,Jazelle OS Control Register" rgroup.long c14:0x7002++0x00 line.long 0x0 "JMCR,Jazelle Main Configuration Register" tree.end tree "Breakpoint Registers" tree "Breakpoint 0" group.long c14:(0x0400+0x0)++0x00 line.long 0x00 "DBGBVR0,Breakpoint Value Register" group.long c14:(0x0500+0x0)++0x00 line.long 0x00 "DBGBCR0,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 1" group.long c14:(0x0400+0x10)++0x00 line.long 0x00 "DBGBVR1,Breakpoint Value Register" group.long c14:(0x0500+0x10)++0x00 line.long 0x00 "DBGBCR1,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 2" group.long c14:(0x0400+0x20)++0x00 line.long 0x00 "DBGBVR2,Breakpoint Value Register" group.long c14:(0x0500+0x20)++0x00 line.long 0x00 "DBGBCR2,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 3" group.long c14:(0x0400+0x30)++0x00 line.long 0x00 "DBGBVR3,Breakpoint Value Register" group.long c14:(0x0500+0x30)++0x00 line.long 0x00 "DBGBCR3,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 4" group.long c14:(0x0400+0x40)++0x00 line.long 0x00 "DBGBVR4,Breakpoint Value Register" group.long c14:(0x0101+0x40)++0x00 line.long 0x00 "DBGBXVR4,Breakpoint Extended Value Register" group.long c14:(0x0500+0x40)++0x00 line.long 0x00 "DBGBCR4,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 5" group.long c14:(0x0400+0x50)++0x00 line.long 0x00 "DBGBVR5,Breakpoint Value Register" group.long c14:(0x0101+0x50)++0x00 line.long 0x00 "DBGBXVR5,Breakpoint Extended Value Register" group.long c14:(0x0500+0x50)++0x00 line.long 0x00 "DBGBCR5,Breakpoint Control Register" bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." newline bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" newline bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled" tree.end tree.end tree "Watchpoint Registers" tree "Watchpoint 0" group.long c14:(0x0600+0x0)++0x00 line.long 0x00 "DBGWVR0,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address" group.long c14:(0x0700+0x0)++0x00 line.long 0x00 "DBGWCR0,Watchpoint Control Register" bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 1" group.long c14:(0x0600+0x10)++0x00 line.long 0x00 "DBGWVR1,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address" group.long c14:(0x0700+0x10)++0x00 line.long 0x00 "DBGWCR1,Watchpoint Control Register" bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 2" group.long c14:(0x0600+0x20)++0x00 line.long 0x00 "DBGWVR2,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address" group.long c14:(0x0700+0x20)++0x00 line.long 0x00 "DBGWCR2,Watchpoint Control Register" bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 3" group.long c14:(0x0600+0x30)++0x00 line.long 0x00 "DBGWVR3,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address" group.long c14:(0x0700+0x30)++0x00 line.long 0x00 "DBGWCR3,Watchpoint Control Register" bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled" hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree.end tree "DynamIQ Shared Unit" tree "Cluster Control Registers" if (((per.l(c15:0x003F))&0x2000)==0x00) rgroup.long c15:0x003F++0x00 line.long 0x00 "CLUSTERCFR,Cluster Configuration Register" bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..." newline bitfld.long 0x00 17. "CRSP3,Core 3 Register slice present" "Not present,Present" bitfld.long 0x00 16. "CRSP2,Core 2 Register slice present" "Not present,Present" bitfld.long 0x00 15. "CRSP1,Core 1 Register slice present" "Not present,Present" newline bitfld.long 0x00 14. "CRSP0,Core 0 Register slice present" "Not present,Present" bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended" bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present" newline bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present" bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Single 256-bit CHI" bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC" newline bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM Register slice present" "Not present,Present" bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles" bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles" newline bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present" bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..." else rgroup.long c15:0x003F++0x00 line.long 0x00 "CLUSTERCFR,Cluster Configuration Register" bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..." newline bitfld.long 0x00 17. "CRSP3,Core 3 Register slice present" "Not present,Present" bitfld.long 0x00 16. "CRSP2,Core 2 Register slice present" "Not present,Present" bitfld.long 0x00 15. "CRSP1,Core 1 Register slice present" "Not present,Present" newline bitfld.long 0x00 14. "CRSP0,Core 0 Register slice present" "Not present,Present" bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended" bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present" newline bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present" bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Dual 256-bit CHI" bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC" newline bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM Register slice present" "Not present,Present" bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles" bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles" newline bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present" bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..." endif rgroup.long c15:0x013F++0x00 line.long 0x00 "CLUSTERIDR,Cluster Main Revision ID" hexmask.long.byte 0x00 4.--7. 1. "VARIANT,Indicates the variant of the DSU" hexmask.long.byte 0x00 0.--3. 1. "REVISION,Indicates the minor revision number of the DSU" rgroup.long c15:0x023F++0x00 line.long 0x00 "CLUSTERREVIDR,Cluster ECO ID" rgroup.long c15:0x033F++0x00 line.long 0x00 "CLUSTERACTLR,Cluster Auxiliary Control Register" if (((per.l(c15:0x003F))&0x600)==(0x00||0x200)) group.long c15:0x043F++0x00 line.long 0x00 "CLUSTERECTLR,Cluster Extended Control Register" bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled" bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128" bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes" newline bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported" bitfld.long 0x00 3. "CTEC,Clean/evict to external control disable" "No,Yes" bitfld.long 0x00 2. "CFUCEC,Cache flush UniqueClean eviction control" "No,Yes" newline bitfld.long 0x00 0. "DNCWL,Disable non-cacheable write limit" "No,Yes" else group.long c15:0x043F++0x00 line.long 0x00 "CLUSTERECTLR,Cluster Extended Control Register" bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled" bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128" bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes" newline bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported" bitfld.long 0x00 3. "CTEC,Clean/evict to external control disable" "No,Yes" bitfld.long 0x00 2. "CFUCEC,Cache flush UniqueClean eviction control" "No,Yes" endif group.long c15:0x053F++0x00 line.long 0x00 "CLUSTERPWRCTLR,Cluster Power Control Register" bitfld.long 0x00 4.--7. "CPPR,Cache portion power request" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--2. "FUNC_RET_CTRL,Duration of inactivity before the DSU uses CLUSTERPACTIVE" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks" group.long c15:0x063F++0x00 line.long 0x00 "CLUSTERPWRDN,Cluster Power Down Register" bitfld.long 0x00 1. "MRR,Memory retention required" "Not required,Required" bitfld.long 0x00 0. "CPR,Cluster power required" "Not required,Required" rgroup.long c15:0x073F++0x00 line.long 0x00 "CLUSTERPWRSTAT,Cluster Power Status Register" bitfld.long 0x00 4.--7. "CPPS,This bits indicates which cache portions are currently powered up and available" "No ways,Ways 0-3,Reserved,Ways 0-7,Reserved,Reserved,Reserved,Ways 0-11,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Ways 0-15" bitfld.long 0x00 1. "RWPD,Enabled memory retention when all cores are powered down" "Disabled,Enabled" bitfld.long 0x00 0. "DCPD,Disabled cluster power down when all cores are powered down" "No,Yes" group.long c15:0x004F++0x00 line.long 0x00 "CLUSTERTHREADSID,Cluster Thread Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for current thread" "0,1,2,3,4,5,6,7" group.long c15:0x014F++0x00 line.long 0x00 "CLUSTERACPSID,Cluster ACP Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_ACP,Scheme ID for ACP transactions" "0,1,2,3,4,5,6,7" group.long c15:0x024F++0x00 line.long 0x00 "CLUSTERSTASHSID,Cluster Stash Scheme ID Register" bitfld.long 0x00 0.--2. "SCHEME_ID_SR,Scheme ID for stash requests received from the interconnect" "0,1,2,3,4,5,6,7" group.long c15:0x034F++0x00 line.long 0x00 "CLUSTERPARTCR,Cluster Partition Control Register" bitfld.long 0x00 31. "W3_ID7,Way group 3 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 30. "W2_ID7,Way group 2 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 29. "W1_ID7,Way group 1 is assigned as private to scheme ID 7" "Not assigned,Assigned" newline bitfld.long 0x00 28. "W0_ID7,Way group 0 is assigned as private to scheme ID 7" "Not assigned,Assigned" bitfld.long 0x00 27. "W3_ID6,Way group 3 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 26. "W2_ID6,Way group 2 is assigned as private to scheme ID 6" "Not assigned,Assigned" newline bitfld.long 0x00 25. "W1_ID6,Way group 1 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 24. "W0_ID6,Way group 0 is assigned as private to scheme ID 6" "Not assigned,Assigned" bitfld.long 0x00 23. "W3_ID5,Way group 3 is assigned as private to scheme ID 5" "Not assigned,Assigned" newline bitfld.long 0x00 22. "W2_ID5,Way group 2 is assigned as private to scheme ID 5" "Not assigned,Assigned" bitfld.long 0x00 21. "W1_ID5,Way group 1 is assigned as private to scheme ID 5" "Not assigned,Assigned" bitfld.long 0x00 20. "W0_ID5,Way group 0 is assigned as private to scheme ID 5" "Not assigned,Assigned" newline bitfld.long 0x00 19. "W3_ID4,Way group 3 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 18. "W2_ID4,Way group 2 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 17. "W1_ID4,Way group 1 is assigned as private to scheme ID 4" "Not assigned,Assigned" newline bitfld.long 0x00 16. "W0_ID4,Way group 0 is assigned as private to scheme ID 4" "Not assigned,Assigned" bitfld.long 0x00 15. "W3_ID3,Way group 3 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 14. "W2_ID3,Way group 2 is assigned as private to scheme ID 3" "Not assigned,Assigned" newline bitfld.long 0x00 13. "W1_ID3,Way group 1 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 12. "W0_ID3,Way group 0 is assigned as private to scheme ID 3" "Not assigned,Assigned" bitfld.long 0x00 11. "W3_ID2,Way group 3 is assigned as private to scheme ID 2" "Not assigned,Assigned" newline bitfld.long 0x00 10. "W2_ID2,Way group 2 is assigned as private to scheme ID 2" "Not assigned,Assigned" bitfld.long 0x00 9. "W1_ID2,Way group 1 is assigned as private to scheme ID 2" "Not assigned,Assigned" bitfld.long 0x00 8. "W0_ID2,Way group 0 is assigned as private to scheme ID 2" "Not assigned,Assigned" newline bitfld.long 0x00 7. "W3_ID1,Way group 3 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 6. "W2_ID1,Way group 2 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 5. "W1_ID1,Way group 1 is assigned as private to scheme ID 1" "Not assigned,Assigned" newline bitfld.long 0x00 4. "W0_ID1,Way group 0 is assigned as private to scheme ID 1" "Not assigned,Assigned" bitfld.long 0x00 3. "W3_ID0,Way group 3 is assigned as private to scheme ID 0" "Not assigned,Assigned" bitfld.long 0x00 2. "W2_ID0,Way group 2 is assigned as private to scheme ID 0" "Not assigned,Assigned" newline bitfld.long 0x00 1. "W1_ID0,Way group 1 is assigned as private to scheme ID 0" "Not assigned,Assigned" bitfld.long 0x00 0. "W0_ID0,Way group 0 is assigned as private to scheme ID 0" "Not assigned,Assigned" newline group.long c15:0x044F++0x00 line.long 0x00 "CLUSTERBUSQOS,Cluster Bus QoS Control Register" bitfld.long 0x00 28.--31. "CHI_BUS_QOS_SCHEME_ID7,Value driven on the CHI bus QoS field for scheme ID 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CHI_BUS_QOS_SCHEME_ID6,Value driven on the CHI bus QoS field for scheme ID 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "CHI_BUS_QOS_SCHEME_ID5,Value driven on the CHI bus QoS field for scheme ID 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "CHI_BUS_QOS_SCHEME_ID4,Value driven on the CHI bus QoS field for scheme ID 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "CHI_BUS_QOS_SCHEME_ID3,Value driven on the CHI bus QoS field for scheme ID 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CHI_BUS_QOS_SCHEME_ID2,Value driven on the CHI bus QoS field for scheme ID 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CHI_BUS_QOS_SCHEME_ID1,Value driven on the CHI bus QoS field for scheme ID 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CHI_BUS_QOS_SCHEME_ID0,Value driven on the CHI bus QoS field for scheme ID 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long c15:0x054F++0x00 line.long 0x00 "CLUSTERL3HIT,Cluster L3 Hit Counter Register" group.long c15:0x064F++0x00 line.long 0x00 "CLUSTERL3MISS,Cluster L3 Miss Counter Register" group.long c15:0x074F++0x00 line.long 0x00 "CLUSTERTHREADSIDOVR,Cluster Thread Scheme ID Override Register" bitfld.long 0x00 16.--18. "SCHEME_ID_MASK,A bit set in the mask causes the matching bit to be taken from this Register rather than from the CLUSTERTHREADSID_EL1 Register" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for this thread if masked" "0,1,2,3,4,5,6,7" tree.end tree "Error System Registers" rgroup.long c15:0x0035++0x00 line.long 0x00 "ERRIDR,Error Record ID Register" hexmask.long.word 0x00 0.--15. 1. "NUM,Number of records that can be accessed through the Error Record system Registers" group.long c15:0x0135++0x00 line.long 0x00 "ERRSELR,Error Record Select Register" bitfld.long 0x00 0. "SEL,Selects the record accessed through the Error Record system Registers" "Record 0 - Core,Record 1 - DSU" if (((per.l(c15:0x0135))&0x01)==0x00) if CORENAME()=="CORTEXA55" rgroup.long c15:0x0345++0x00 line.long 0x00 "ERXADDR,Selected Error Record Address Register" rgroup.long c15:0x0745++0x00 line.long 0x00 "ERXADDR2,Selected Error Record Address Register 2" group.long c15:0x0145++0x00 line.long 0x00 "ERXCTLR,Selected Error Record Control Register" bitfld.long 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "Disabled,Enabled" bitfld.long 0x00 3. "FI,Fault handling interrupt enable" "Disabled,Enabled" bitfld.long 0x00 2. "UI,Uncorrected error recovery interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "ED,Enable error detection" "Disabled,Enabled" rgroup.long c15:0x0545++0x00 line.long 0x00 "ERXCTLR2,Selected Error Record Control Register 2" rgroup.long c15:0x0045++0x00 line.long 0x00 "ERXFR,Selected Error Record Feature Register" bitfld.long 0x00 18.--19. "CEO,Previous error syndrome is kept on a second corrected error" "Yes,?..." bitfld.long 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..." bitfld.long 0x00 15. "RP,Indicates whether a repeat counter is implemented" "Reserved,1st and 2nd counter implemented" newline bitfld.long 0x00 12.--14. "CEC,Defines whether the node implements a standard CE counter mechanism in ERRMISC0" "Reserved,Reserved,8bit error counter,?..." bitfld.long 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 8.--9. "UE,Uncorrected error reporting" "Reserved,Supported,?..." newline bitfld.long 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 4.--5. "UI,Uncorrected error recovery interrupt" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 2.--3. "DE,Deferred errors" "Reserved,Reserved,Controllable,?..." newline bitfld.long 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Controllable,?..." rgroup.long c15:0x0445++0x00 line.long 0x00 "ERXFR2,Selected Error Record Feature Register 2" group.long c15:0x0055++0x00 line.long 0x00 "ERXMISC0,Selected Error Miscellaneous Register 0" bitfld.long 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" newline bitfld.long 0x00 1.--3. "L,Indicates the level that contained the error" "Level 1,Level 2,?..." bitfld.long 0x00 0. "IND,Indicates the type of cache that contained the error" "Data cache(L1)/Unified cache(L2)/TLB,Instruction cache(L1)" group.long c15:0x0155++0x00 line.long 0x00 "ERXMISC1,Selected Error Miscellaneous Register 1" bitfld.long 0x00 15. "OFO,Other Error Count Overflow" "No overflow,Overflow" hexmask.long.byte 0x00 8.--14. 1. "CECO,Other Error Count" bitfld.long 0x00 7. "OFR,Repeat Error Count Overflow" "No overflow,Overflow" newline hexmask.long.byte 0x00 0.--6. 1. "CECR,Repeat Error Count" rgroup.long c15:0x0455++0x00 line.long 0x00 "ERXMISC2,Selected Error Miscellaneous Register 2" rgroup.long c15:0x0555++0x00 line.long 0x00 "ERXMISC3,Selected Error Miscellaneous Register 3" group.long c15:0x0245++0x00 line.long 0x00 "ERXSTATUS,Selected Error Record Primary Status Register" bitfld.long 0x00 31. "AV,Address Valid" "Not valid,?..." bitfld.long 0x00 30. "V,Status Register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error Reported" "No error,Error" bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous Registers Valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected Errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred Errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,?..." newline bitfld.long 0x00 20.--21. "UET,Uncorrected Error Type" "Uncontainable,?..." abitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "0x00=No error/Error not on dirty RAM,0x01=Error on L1 dirty RAM" abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/Internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity error/TLB data RAM,0x09=Parity error/TLB tag RAM,0x15=Deferred error from slave,?..." group.long c15:0x022F++0x00 line.long 0x00 "ERXPFGCDN,Selected Error Pseudo Fault Generation Count Down Register" group.long c15:0x012F++0x00 line.long 0x00 "ERXPFGCTL,Selected Error Pseudo Fault Generation Control Register" bitfld.long 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" bitfld.long 0x00 30. "R,Restartable bit. Controls whether Error Generation Counter restarts from the ERR0PFGCDNR value or stops after reaching 0" "Counter stops,Counter restarts" bitfld.long 0x00 6. "CE,Corrected Error generation enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled" bitfld.long 0x00 3. "UER,Signaled or Recoverable Error generation enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled" rgroup.long c15:0x002F++0x00 line.long 0x00 "ERXPFGF,Selected Pseudo Fault Generation Feature Register" bitfld.long 0x00 31. "PFG,Pseudo Fault Generation" "Not supported,Supported" bitfld.long 0x00 30. "R,Restartable bit" "Not supported,Controllable" bitfld.long 0x00 6. "CE,Corrected Error generation" "Not supported,Controllable" newline bitfld.long 0x00 5. "DE,Deferred Error generation" "Not supported,Controllable" bitfld.long 0x00 4. "UEO,Latent or Restartable Error generation" "Not supported,?..." bitfld.long 0x00 3. "UER,Signaled or Recoverable Error generation" "Not supported,Controllable" newline bitfld.long 0x00 2. "UEU,Unrecoverable Error generation" "Not supported,?..." bitfld.long 0x00 1. "UC,Uncontainable Error generation" "Not supported,Controllable" elif CORENAME()=="CORTEXA75" group.long c15:0x0345++0x00 line.long 0x00 "ERXADDR,Selected Error Record Address Register" bitfld.long 0x00 31. "NS,Non-secure attribute" "Secure,Non-secure" bitfld.long 0x00 30. "SI,Secure incorrect" "Correct,Incorrect" bitfld.long 0x00 29. "AI,Address incomplete or incorrect" "Correct,Not correct" newline hexmask.long.word 0x00 0.--31. 0x01 "PADDR[43:32],Physical address bits [43:32]" group.long c15:0x0745++0x00 line.long 0x00 "ERXADDR2,Selected Error Record Address Register 2" hexmask.long 0x00 0.--31. 0x01 "PADDR[31:0],Physical address" group.long c15:0x0145++0x00 line.long 0x00 "ERXCTLR,Selected Error Record Control Register" bitfld.long 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "Disabled,Enabled" bitfld.long 0x00 3. "FI,Fault handling interrupt enable" "Disabled,Enabled" bitfld.long 0x00 2. "UI,Uncorrected error recovery interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "ED,Enable error detection" "Disabled,Enabled" rgroup.long c15:0x0545++0x00 line.long 0x00 "ERXCTLR2,Selected Error Record Control Register 2" rgroup.long c15:0x0045++0x00 line.long 0x00 "ERXFR,Selected Error Record Feature Register" bitfld.long 0x00 18.--19. "CEO,Previous error syndrome is kept on a second corrected error" "Yes,?..." bitfld.long 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..." bitfld.long 0x00 15. "RP,Indicates whether a repeat counter is implemented" "Reserved,1st and 2nd counter implemented" newline bitfld.long 0x00 12.--14. "CEC,Defines whether the node implements a standard CE counter mechanism in ERRMISC0" "Reserved,Reserved,8bit error counter,?..." bitfld.long 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 8.--9. "UE,Uncorrected error reporting" "Reserved,Supported,?..." newline bitfld.long 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 4.--5. "UI,Uncorrected error recovery interrupt" "Reserved,Reserved,Controllable,?..." newline bitfld.long 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Controllable,?..." rgroup.long c15:0x0445++0x00 line.long 0x00 "ERXFR2,Selected Error Record Feature Register 2" group.long c15:0x0055++0x00 line.long 0x00 "ERXMISC0,Selected Error Miscellaneous Register 0" bitfld.long 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" bitfld.long 0x00 5. "TLBRAM,Indicates in which TLB RAM block the error occurs" "RAM0,RAM1" newline bitfld.long 0x00 1.--3. "L,Indicates the level that contained the error" "Level 1,Level 2,?..." bitfld.long 0x00 0. "IND,Indicates the type of cache that contained the error" "Data cache(L1)/Unified cache(L2)/TLB,Instruction cache(L1)" group.long c15:0x0155++0x00 line.long 0x00 "ERXMISC1,Selected Error Miscellaneous Register 1" hexmask.long.word 0x00 0.--15. 1. "CEC,Corrected Error Count" rgroup.long c15:0x0455++0x00 line.long 0x00 "ERXMISC2,Selected Error Miscellaneous Register 2" rgroup.long c15:0x0555++0x00 line.long 0x00 "ERXMISC3,Selected Error Miscellaneous Register 3" group.long c15:0x0245++0x00 line.long 0x00 "ERXSTATUS,Selected Error Record Primary Status Register" bitfld.long 0x00 31. "AV,Address Valid" "Not valid,Valid" bitfld.long 0x00 30. "V,Status Register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error Reported" "No error,Error" bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous Registers Valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected Errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred Errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,?..." newline bitfld.long 0x00 20.--21. "UET,Uncorrected Error Type" "Uncontainable,?..." abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/Internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity error/TLB data RAM,0x09=Parity error/TLB tag RAM,0x15=Deferred error from slave,?..." group.long c15:0x022F++0x00 line.long 0x00 "ERXPFGCDN,Selected Error Pseudo Fault Generation Count Down Register" group.long c15:0x012F++0x00 line.long 0x00 "ERXPFGCTL,Selected Error Pseudo Fault Generation Control Register" bitfld.long 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" bitfld.long 0x00 30. "R,Restartable bit. Controls whether Error Generation Counter restarts from the ERR0PFGCDNR value or stops after reaching 0" "Counter stops,Counter restarts" bitfld.long 0x00 6. "CE,Corrected Error generation enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled" bitfld.long 0x00 3. "UER,Signaled or Recoverable Error generation enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled" rgroup.long c15:0x002F++0x00 line.long 0x00 "ERXPFGF,Selected Pseudo Fault Generation Feature Register" bitfld.long 0x00 31. "PFG,Pseudo Fault Generation" "Reserved,Supported" bitfld.long 0x00 30. "R,Restartable bit" "Reserved,Controllable" bitfld.long 0x00 6. "CE,Corrected Error generation" "Reserved,Controllable" newline bitfld.long 0x00 5. "DE,Deferred Error generation" "Reserved,Controllable" bitfld.long 0x00 4. "UEO,Latent or Restartable Error generation" "Not supported,?..." bitfld.long 0x00 3. "UER,Signaled or Recoverable Error generation" "Not supported,?..." newline bitfld.long 0x00 2. "UEU,Unrecoverable Error generation" "Not supported,?..." bitfld.long 0x00 1. "UC,Uncontainable Error generation" "Reserved,Controllable" endif else rgroup.long c15:0x0345++0x00 line.long 0x00 "ERXADDR,Selected Error Record Address Register" rgroup.long c15:0x0745++0x00 line.long 0x00 "ERXADDR2,Selected Error Record Address Register 2" group.long c15:0x0145++0x00 line.long 0x00 "ERXCTLR,Selected Error Record Control Register" bitfld.long 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "Disabled,Enabled" bitfld.long 0x00 3. "FI,Fault handling interrupt enable" "Disabled,Enabled" bitfld.long 0x00 2. "UI,Uncorrected error recovery interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "ED,Error reporting and logging enable" "Disabled,Enabled" rgroup.long c15:0x0545++0x00 line.long 0x00 "ERXCTLR2,Selected Error Record Control Register 2" rgroup.long c15:0x0045++0x00 line.long 0x00 "ERXFR,Selected Error Record Feature Register" bitfld.long 0x00 18.--19. "CEO,Previous error syndrome is kept on a second corrected error" "Yes,?..." bitfld.long 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..." bitfld.long 0x00 15. "RP,Indicates whether a repeat counter is implemented" "Reserved,1st and 2nd counter implemented" newline bitfld.long 0x00 12.--14. "CEC,Defines whether the node implements a standard CE counter mechanism in ERRMISC0" "Reserved,Reserved,8bit error counter,?..." bitfld.long 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 8.--9. "UE,Uncorrected error reporting" "Reserved,Supported,?..." newline bitfld.long 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Controllable,?..." bitfld.long 0x00 4.--5. "UI,Uncorrected error recovery interrupt" ",Reserved,Controllable,?..." bitfld.long 0x00 2.--3. "DE,Deferred errors" "Reserved,Always enabled,?..." newline bitfld.long 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Controllable,?..." rgroup.long c15:0x0445++0x00 line.long 0x00 "ERXFR2,Selected Error Record Feature Register 2" group.long c15:0x0055++0x00 line.long 0x00 "ERXMISC0,Selected Error Miscellaneous Register 0" bitfld.long 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error" newline bitfld.long 0x00 1.--3. "L,Indicates the level that contained the error" "Reserved,Reserved,Level 3,?..." bitfld.long 0x00 0. "IND,Indicates the type of cache that contained the error" "L3 cache," group.long c15:0x0155++0x00 line.long 0x00 "ERXMISC1,Selected Error Miscellaneous Register 1" bitfld.long 0x00 15. "OFO,Other Error Count Overflow" "No overflow,Overflow" hexmask.long.byte 0x00 8.--14. 1. "CECO,Other Error Count" bitfld.long 0x00 7. "OFR,Repeat Error Count Overflow" "No overflow,Overflow" newline hexmask.long.byte 0x00 0.--6. 1. "CECR,Repeat Error Count" rgroup.long c15:0x0455++0x00 line.long 0x00 "ERXMISC2,Selected Error Miscellaneous Register 2" rgroup.long c15:0x0555++0x00 line.long 0x00 "ERXMISC3,Selected Error Miscellaneous Register 3" group.long c15:0x0245++0x00 line.long 0x00 "ERXSTATUS,Selected Error Record Primary Status Register" bitfld.long 0x00 31. "AV,Address Valid" "Not valid,?..." bitfld.long 0x00 30. "V,Status Register valid" "Not valid,Valid" bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error" newline bitfld.long 0x00 28. "ER,Error Reported" "No error,?..." bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error" bitfld.long 0x00 26. "MV,Miscellaneous Registers Valid" "Not valid,Valid" newline bitfld.long 0x00 24.--25. "CE,Corrected Errors" "No error,Reserved,>=1 error,?..." bitfld.long 0x00 23. "DE,Deferred Errors" "No error,>=1 error" bitfld.long 0x00 22. "PN,Poison" "No distinction,Uncorrected error" newline bitfld.long 0x00 20.--21. "UET,Uncorrected Error Type" "Uncontainable,?..." abitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "0x00=No error/Error on other RAMs,0x02=Error on a L3 snoop filter RAM" abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/Internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x12=Bus error" group.long c15:0x022F++0x00 line.long 0x00 "ERXPFGCDN,Selected Error Pseudo Fault Generation Count Down Register" group.long c15:0x012F++0x00 line.long 0x00 "ERXPFGCTL,Selected Error Pseudo Fault Generation Control Register" bitfld.long 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled" bitfld.long 0x00 30. "R,Restartable bit. Controls whether Error Generation Counter restarts from the ERR0PFGCDNR value or stops after reaching 0" "Counter stops,Counter restarts" bitfld.long 0x00 6. "CE,Corrected Error generation enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled" bitfld.long 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled" rgroup.long c15:0x002F++0x00 line.long 0x00 "ERXPFGF,Selected Pseudo Fault Generation Feature Register" bitfld.long 0x00 31. "PFG,Pseudo Fault Generation" "Not supported,Supported" bitfld.long 0x00 30. "R,Restartable bit" "Not supported,Controllable" bitfld.long 0x00 6. "CE,Corrected Error generation" "Not supported,Controllable" newline bitfld.long 0x00 5. "DE,Deferred Error generation" "Not supported,Controllable" bitfld.long 0x00 4. "UEO,Latent or Restartable Error generation" "Not supported,Controllable" bitfld.long 0x00 3. "UER,Signaled or Recoverable Error generation" "Not supported,Controllable" newline bitfld.long 0x00 2. "UEU,Unrecoverable Error generation" "Not supported,Controllable" bitfld.long 0x00 1. "UC,Uncontainable Error generation" "Not supported,Controllable" endif tree.end tree "Cluster PMU Registers" group.long c15:0x005F++0x00 line.long 0x00 "CLUSTERPMCR,Cluster Performance Monitors Control Register" hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code" bitfld.long 0x00 11.--15. "N,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 4. "X,Export of events Enable" "Disabled," bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset" bitfld.long 0x00 1. "P,Event Counter Reset" "No reset,Reset" newline bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled" newline group.long c15:0x015F++0x00 line.long 0x00 "CLUSTERPMCNTENSET,Cluster Count Enable Set Register" bitfld.long 0x00 31. "C,Enables the cycle counter Register [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable" group.long c15:0x025F++0x00 line.long 0x00 "CLUSTERPMCNTENCLR,Cluster Count Enable Clear Register" bitfld.long 0x00 31. "C,Disables the cycle counter Register [Read/Write]" "Disabled/No effect,Enabled/Disable" newline bitfld.long 0x00 5. "P5,Event counter PMN 5 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 4. "P4,Event counter PMN 4 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 3. "P3,Event counter PMN 3 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" newline bitfld.long 0x00 2. "P2,Event counter PMN 2 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 1. "P1,Event counter PMN 1 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" bitfld.long 0x00 0. "P0,Event counter PMN 0 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable" group.long c15:0x035F++0x00 line.long 0x00 "CLUSTERPMOVSSET,Cluster Overflow Flag Status Set" bitfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Set" newline bitfld.long 0x00 5. "P5,PMN5 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 4. "P4,PMN4 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 3. "P3,PMN3 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" newline bitfld.long 0x00 2. "P2,PMN2 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 1. "P1,PMN1 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" bitfld.long 0x00 0. "P0,PMN0 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set" group.long c15:0x045F++0x00 line.long 0x00 "CLUSTERPMOVSCLR,Cluster Overflow Flag Status Clear" eventfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 5. "P5,PMN5 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 4. "P4,PMN4 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 3. "P3,PMN3 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 2. "P2,PMN2 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 1. "P1,PMN1 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 0. "P0,PMN0 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" group.long c15:0x055F++0x00 line.long 0x00 "CLUSTERPMSELR,Cluster Event Counter Selection Register" bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long c15:0x065F++0x00 line.long 0x00 "CLUSTERPMINTENSET,Cluster Interrupt Enable Set Register" bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" newline bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable" group.long c15:0x075F++0x00 line.long 0x00 "CLUSTERPMINTENCLR,Cluster Interrupt Enable Clear Register" eventfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable" group.quad c15:0x006F++0x01 line.quad 0x00 "CLUSTERPMCCNTR,Cluster Performance Monitors Cycle Counter" if (((per.l(c15:0x016F))&0x80000000)==0x80000000) group.long c15:0x016F++0x00 line.long 0x00 "CLUSTERPMXEVTYPER,Cluster Selected Event Type and Filter Register" bitfld.long 0x00 31. "S,Secure events filtering bit. Controls counting of events that are generated by Secure transactions" "Count Secure events,Not count Secure events" bitfld.long 0x00 29. "NS,Non-secure events filtering bit. Controls counting of events that are generated by Non-secure transactions" "Not count Non-secure events,Count Non-secure events" hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number" else group.long c15:0x016F++0x00 line.long 0x00 "CLUSTERPMXEVTYPER,Cluster Selected Event Type and Filter Register" bitfld.long 0x00 31. "S,Secure events filtering bit. Controls counting of events that are generated by Secure transactions" "Count Secure events,Not count Secure events" bitfld.long 0x00 29. "NS,Non-secure events filtering bit. Controls counting of events that are generated by Non-secure transactions" "Count Non-secure events,Not count Non-secure events" hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number" endif group.long c15:0x026F++0x00 line.long 0x00 "CLUSTERPMXEVCNTR,Cluster Selected Event Counter Register" group.long c15:0x036F++0x00 line.long 0x00 "CLUSTERPMMDCR,Cluster Monitor Debug Configuration Register" bitfld.long 0x00 0. "SPME,Secure Performance Monitors Enable" "Disabled,Enabled" tree.open "Common Event Identification Registers" rgroup.long c15:0x046F++0x00 line.long 0x00 "CLUSTERPMCEID0,Cluster Common Event Identification ID0 Register" bitfld.long 0x00 30. "CHAIN,Chain" "Reserved,Implemented" bitfld.long 0x00 29. "BUS_CYCLES,Bus cycle" "Reserved,Implemented" bitfld.long 0x00 26. "MEMORY_ERROR,Local memory error" "Reserved,Implemented" newline bitfld.long 0x00 25. "BUS_ACCESS,Bus access" "Reserved,Implemented" bitfld.long 0x00 17. "CPU_CYCLES,CPU Cycle" "Reserved,Implemented" rgroup.long c15:0x056F++0x00 line.long 0x00 "CLUSTERPMCEID1,Cluster Common Event Identification ID1 Register" bitfld.long 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Reserved,Implemented" bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Reserved,Implemented" bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Reserved,Implemented" newline bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Reserved,Implemented" tree.end newline group.long c15:0x066F++0x00 line.long 0x00 "CLUSTERPMCLAIMSET,Cluster Performance Monitor Claim Tag Set Register" bitfld.long 0x00 3. "S[3],Set bit 3" "Low,High" bitfld.long 0x00 2. "S[2],Set bit 2" "Low,High" bitfld.long 0x00 1. "S[1],Set bit 1" "Low,High" newline bitfld.long 0x00 0. "S[0],Set bit 0" "Low,High" group.long c15:0x076F++0x00 line.long 0x00 "CLUSTERPMCLAIMCLR,Cluster Performance Monitor Claim Tag Clear Register" bitfld.long 0x00 3. "C[3],Clear bit 3" "Low,High" bitfld.long 0x00 2. "C[2],Clear bit 2" "Low,High" bitfld.long 0x00 1. "C[1],Clear bit 1" "Low,High" newline bitfld.long 0x00 0. "C[0],Clear bit 0" "Low,High" tree.end tree.end tree.end AUTOINDENT.OFF AUTOINDENT.POP tree "Interrupt Controller" width 17. base ad:(per.long(spr:0x31F30)&0xFFFC0000) tree "Distributor Interface" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x400)==0x400) if PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)) group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register (Secure access)" bitfld.long 0x00 1. " ENABLEGRP1 ,Global Interrupt Enable Group 1" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP0 ,Global Interrupt Enable Group 1" "Disabled,Enabled" else group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register (Non-secure access)" bitfld.long 0x00 0. " ENABLE ,Global enable for forwarding pending interrupts from the Distributor to the CPU interfaces" "Disabled,Enabled" endif else group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register" bitfld.long 0x00 0. " ENABLE ,Global enable for forwarding pending interrupts from the Distributor to the CPU interfaces" "Disabled,Enabled" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x400)==0x400) rgroup.long 0x0004++0x03 line.long 0x00 "GICD_TYPER,Interrupt Controller Type Register" bitfld.long 0x00 11.--15. " LSPI ,Locable Shared Peripheral Interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. " SECURITYEXTN ,Indicate whether interrupt controller implements the security extensions" "Not implemented,Implemented" textline " " bitfld.long 0x00 5.--7. " CPUNUMBER ,Indicates the number of implemented CPU interfaces" "1,2,3,4,?..." bitfld.long 0x00 0.--4. " ITLN ,Indicates the number of interrupts that the interrupt controller supports" "Up to 32,Up to 64,Up to 96,Up to 128,Up to 160,Up to 192,Up to 224,Up to 256,Up to 288,Up to 320,Up to 352,Up to 384,Up to 416,Up to 448,Up to 480,Up to 512,Up to 544,Up to 576,Up to 608,Up to 640,Up to 672,Up to 704,Up to 736,Up to 768,Up to 800,Up to 832,Up to 864,Up to 896,Up to 928,Up to 960,Up to 992,Up to 1020" else rgroup.long 0x0004++0x03 line.long 0x00 "GICD_TYPER,Interrupt Controller Type Register" bitfld.long 0x00 10. " SECURITYEXTN ,Indicates whether interrupt controller implements the security extensions" "Not implemented,Implemented" textline " " bitfld.long 0x00 5.--7. " CPUNUMBER ,Indicates the number of implemented CPU interfaces" "1,2,3,4,?..." bitfld.long 0x00 0.--4. " ITLN ,Indicates the number of interrupts that the interrupt controller supports" "Up to 32,Up to 64,Up to 96,Up to 128,Up to 160,Up to 192,Up to 224,Up to 256,Up to 288,Up to 320,Up to 352,Up to 384,Up to 416,Up to 448,Up to 480,Up to 512,Up to 544,Up to 576,Up to 608,Up to 640,Up to 672,Up to 704,Up to 736,Up to 768,Up to 800,Up to 832,Up to 864,Up to 896,Up to 928,Up to 960,Up to 992,Up to 1020" endif rgroup.long 0x0008++0x03 line.long 0x00 "GICD_IIDR,Distributor Implementer Identification Register" bitfld.long 0x00 24.--31. " PRODID ,Indicates the product ID" "PL390,PL390,GIC400,GIC400,?..." bitfld.long 0x00 16.--19. " VAR ,Major revison number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " REV ,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" sif CPU.FEATURE(hypervisor)||CPU.FEATURE(secure) width 17. tree "Group/Security Registers" if PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0080) group.long 0x0080++0x03 line.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0 (Secure Access)" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Group 0 (Secure),Group 1 (Non-secure)" else group.long 0x0080++0x03 line.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0 (Non-secure access)" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Group 0,Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Group 0,Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Group 0,Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Group 0,Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Group 0,Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Group 0,Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Group 0,Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Group 0,Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Group 0,Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Group 0,Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Group 0,Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Group 0,Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Group 0,Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Group 0,Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Group 0,Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Group 0,Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Group 0,Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Group 0,Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Group 0,Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Group 0,Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Group 0,Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Group 0,Group 1" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0084)) group.long 0x0084++0x03 line.long 0x0 "GICD_IGROUPR1,Interrupt Group Register 1 (Secure Access)" bitfld.long 0x00 31. " GSB63 ,Group Status Bit 63" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB62 ,Group Status Bit 62" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB61 ,Group Status Bit 61" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB60 ,Group Status Bit 60" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB59 ,Group Status Bit 59" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB58 ,Group Status Bit 58" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB57 ,Group Status Bit 57" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB56 ,Group Status Bit 56" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB55 ,Group Status Bit 55" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB54 ,Group Status Bit 54" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB53 ,Group Status Bit 53" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB52 ,Group Status Bit 52" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB51 ,Group Status Bit 51" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB50 ,Group Status Bit 50" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB49 ,Group Status Bit 49" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB48 ,Group Status Bit 48" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB47 ,Group Status Bit 47" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB46 ,Group Status Bit 46" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB45 ,Group Status Bit 45" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB44 ,Group Status Bit 44" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB43 ,Group Status Bit 43" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB42 ,Group Status Bit 42" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB41 ,Group Status Bit 41" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB40 ,Group Status Bit 40" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB39 ,Group Status Bit 39" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB38 ,Group Status Bit 38" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB37 ,Group Status Bit 37" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB36 ,Group Status Bit 36" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB35 ,Group Status Bit 35" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB34 ,Group Status Bit 34" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB33 ,Group Status Bit 33" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB32 ,Group Status Bit 32" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x0084++0x03 line.long 0x0 "GICD_IGROUPR1,Interrupt Group Register 1 (Non-secure access)" bitfld.long 0x00 31. " GSB63 ,Group Status Bit 63" "Group 0,Group 1" bitfld.long 0x00 30. " GSB62 ,Group Status Bit 62" "Group 0,Group 1" bitfld.long 0x00 29. " GSB61 ,Group Status Bit 61" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB60 ,Group Status Bit 60" "Group 0,Group 1" bitfld.long 0x00 27. " GSB59 ,Group Status Bit 59" "Group 0,Group 1" bitfld.long 0x00 26. " GSB58 ,Group Status Bit 58" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB57 ,Group Status Bit 57" "Group 0,Group 1" bitfld.long 0x00 24. " GSB56 ,Group Status Bit 56" "Group 0,Group 1" bitfld.long 0x00 23. " GSB55 ,Group Status Bit 55" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB54 ,Group Status Bit 54" "Group 0,Group 1" bitfld.long 0x00 21. " GSB53 ,Group Status Bit 53" "Group 0,Group 1" bitfld.long 0x00 20. " GSB52 ,Group Status Bit 52" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB51 ,Group Status Bit 51" "Group 0,Group 1" bitfld.long 0x00 18. " GSB50 ,Group Status Bit 50" "Group 0,Group 1" bitfld.long 0x00 17. " GSB49 ,Group Status Bit 49" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB48 ,Group Status Bit 48" "Group 0,Group 1" bitfld.long 0x00 15. " GSB47 ,Group Status Bit 47" "Group 0,Group 1" bitfld.long 0x00 14. " GSB46 ,Group Status Bit 46" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB45 ,Group Status Bit 45" "Group 0,Group 1" bitfld.long 0x00 12. " GSB44 ,Group Status Bit 44" "Group 0,Group 1" bitfld.long 0x00 11. " GSB43 ,Group Status Bit 43" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB42 ,Group Status Bit 42" "Group 0,Group 1" bitfld.long 0x00 9. " GSB41 ,Group Status Bit 41" "Group 0,Group 1" bitfld.long 0x00 8. " GSB40 ,Group Status Bit 40" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB39 ,Group Status Bit 39" "Group 0,Group 1" bitfld.long 0x00 6. " GSB38 ,Group Status Bit 38" "Group 0,Group 1" bitfld.long 0x00 5. " GSB37 ,Group Status Bit 37" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB36 ,Group Status Bit 36" "Group 0,Group 1" bitfld.long 0x00 3. " GSB35 ,Group Status Bit 35" "Group 0,Group 1" bitfld.long 0x00 2. " GSB34 ,Group Status Bit 34" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB33 ,Group Status Bit 33" "Group 0,Group 1" bitfld.long 0x00 0. " GSB32 ,Group Status Bit 32" "Group 0,Group 1" else rgroup.long 0x0084++0x03 line.long 0x0 "GICD_IGROUPR1,Interrupt Group Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0088)) group.long 0x0088++0x03 line.long 0x0 "GICD_IGROUPR2,Interrupt Group Register 2 (Secure access)" bitfld.long 0x00 31. " GSB95 ,Group Status Bit 95" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB94 ,Group Status Bit 94" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB93 ,Group Status Bit 93" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB92 ,Group Status Bit 92" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB91 ,Group Status Bit 91" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB90 ,Group Status Bit 90" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB89 ,Group Status Bit 89" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB88 ,Group Status Bit 88" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB87 ,Group Status Bit 87" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB86 ,Group Status Bit 86" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB85 ,Group Status Bit 85" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB84 ,Group Status Bit 84" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB83 ,Group Status Bit 83" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB82 ,Group Status Bit 82" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB81 ,Group Status Bit 81" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB80 ,Group Status Bit 80" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB79 ,Group Status Bit 79" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB78 ,Group Status Bit 78" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB77 ,Group Status Bit 77" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB76 ,Group Status Bit 76" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB75 ,Group Status Bit 75" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB74 ,Group Status Bit 74" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB73 ,Group Status Bit 73" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB72 ,Group Status Bit 72" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB71 ,Group Status Bit 71" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB70 ,Group Status Bit 70" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB69 ,Group Status Bit 69" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB68 ,Group Status Bit 68" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB67 ,Group Status Bit 67" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB66 ,Group Status Bit 66" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB65 ,Group Status Bit 65" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB64 ,Group Status Bit 64" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x0088++0x03 line.long 0x0 "GICD_IGROUPR2,Interrupt Group Register 2 (Non-secure access)" bitfld.long 0x00 31. " GSB95 ,Group Status Bit 95" "Group 0,Group 1" bitfld.long 0x00 30. " GSB94 ,Group Status Bit 94" "Group 0,Group 1" bitfld.long 0x00 29. " GSB93 ,Group Status Bit 93" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB92 ,Group Status Bit 92" "Group 0,Group 1" bitfld.long 0x00 27. " GSB91 ,Group Status Bit 91" "Group 0,Group 1" bitfld.long 0x00 26. " GSB90 ,Group Status Bit 90" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB89 ,Group Status Bit 89" "Group 0,Group 1" bitfld.long 0x00 24. " GSB88 ,Group Status Bit 88" "Group 0,Group 1" bitfld.long 0x00 23. " GSB87 ,Group Status Bit 87" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB86 ,Group Status Bit 86" "Group 0,Group 1" bitfld.long 0x00 21. " GSB85 ,Group Status Bit 85" "Group 0,Group 1" bitfld.long 0x00 20. " GSB84 ,Group Status Bit 84" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB83 ,Group Status Bit 83" "Group 0,Group 1" bitfld.long 0x00 18. " GSB82 ,Group Status Bit 82" "Group 0,Group 1" bitfld.long 0x00 17. " GSB81 ,Group Status Bit 81" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB80 ,Group Status Bit 80" "Group 0,Group 1" bitfld.long 0x00 15. " GSB79 ,Group Status Bit 79" "Group 0,Group 1" bitfld.long 0x00 14. " GSB78 ,Group Status Bit 78" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB77 ,Group Status Bit 77" "Group 0,Group 1" bitfld.long 0x00 12. " GSB76 ,Group Status Bit 76" "Group 0,Group 1" bitfld.long 0x00 11. " GSB75 ,Group Status Bit 75" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB74 ,Group Status Bit 74" "Group 0,Group 1" bitfld.long 0x00 9. " GSB73 ,Group Status Bit 73" "Group 0,Group 1" bitfld.long 0x00 8. " GSB72 ,Group Status Bit 72" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB71 ,Group Status Bit 71" "Group 0,Group 1" bitfld.long 0x00 6. " GSB70 ,Group Status Bit 70" "Group 0,Group 1" bitfld.long 0x00 5. " GSB69 ,Group Status Bit 69" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB68 ,Group Status Bit 68" "Group 0,Group 1" bitfld.long 0x00 3. " GSB67 ,Group Status Bit 67" "Group 0,Group 1" bitfld.long 0x00 2. " GSB66 ,Group Status Bit 66" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB65 ,Group Status Bit 65" "Group 0,Group 1" bitfld.long 0x00 0. " GSB64 ,Group Status Bit 64" "Group 0,Group 1" else rgroup.long 0x0088++0x03 line.long 0x0 "GICD_IGROUPR2,Interrupt Group Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x008C)) group.long 0x008C++0x03 line.long 0x0 "GICD_IGROUPR3,Interrupt Group Register 3 (Secure access)" bitfld.long 0x00 31. " GSB127 ,Group Status Bit 127" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB126 ,Group Status Bit 126" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB125 ,Group Status Bit 125" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB124 ,Group Status Bit 124" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB123 ,Group Status Bit 123" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB122 ,Group Status Bit 122" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB121 ,Group Status Bit 121" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB120 ,Group Status Bit 120" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB119 ,Group Status Bit 119" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB118 ,Group Status Bit 118" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB117 ,Group Status Bit 117" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB116 ,Group Status Bit 116" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB115 ,Group Status Bit 115" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB114 ,Group Status Bit 114" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB113 ,Group Status Bit 113" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB112 ,Group Status Bit 112" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB111 ,Group Status Bit 111" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB110 ,Group Status Bit 110" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB109 ,Group Status Bit 109" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB108 ,Group Status Bit 108" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB107 ,Group Status Bit 107" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB106 ,Group Status Bit 106" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB105 ,Group Status Bit 105" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB104 ,Group Status Bit 104" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB103 ,Group Status Bit 103" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB102 ,Group Status Bit 102" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB101 ,Group Status Bit 101" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB100 ,Group Status Bit 100" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB99 ,Group Status Bit 99" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB98 ,Group Status Bit 98" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB97 ,Group Status Bit 97" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB96 ,Group Status Bit 96" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x008C++0x03 line.long 0x0 "GICD_IGROUPR3,Interrupt Group Register 3 (Non-secure access)" bitfld.long 0x00 31. " GSB127 ,Group Status Bit 127" "Group 0,Group 1" bitfld.long 0x00 30. " GSB126 ,Group Status Bit 126" "Group 0,Group 1" bitfld.long 0x00 29. " GSB125 ,Group Status Bit 125" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB124 ,Group Status Bit 124" "Group 0,Group 1" bitfld.long 0x00 27. " GSB123 ,Group Status Bit 123" "Group 0,Group 1" bitfld.long 0x00 26. " GSB122 ,Group Status Bit 122" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB121 ,Group Status Bit 121" "Group 0,Group 1" bitfld.long 0x00 24. " GSB120 ,Group Status Bit 120" "Group 0,Group 1" bitfld.long 0x00 23. " GSB119 ,Group Status Bit 119" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB118 ,Group Status Bit 118" "Group 0,Group 1" bitfld.long 0x00 21. " GSB117 ,Group Status Bit 117" "Group 0,Group 1" bitfld.long 0x00 20. " GSB116 ,Group Status Bit 116" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB115 ,Group Status Bit 115" "Group 0,Group 1" bitfld.long 0x00 18. " GSB114 ,Group Status Bit 114" "Group 0,Group 1" bitfld.long 0x00 17. " GSB113 ,Group Status Bit 113" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB112 ,Group Status Bit 112" "Group 0,Group 1" bitfld.long 0x00 15. " GSB111 ,Group Status Bit 111" "Group 0,Group 1" bitfld.long 0x00 14. " GSB110 ,Group Status Bit 110" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB109 ,Group Status Bit 109" "Group 0,Group 1" bitfld.long 0x00 12. " GSB108 ,Group Status Bit 108" "Group 0,Group 1" bitfld.long 0x00 11. " GSB107 ,Group Status Bit 107" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB106 ,Group Status Bit 106" "Group 0,Group 1" bitfld.long 0x00 9. " GSB105 ,Group Status Bit 105" "Group 0,Group 1" bitfld.long 0x00 8. " GSB104 ,Group Status Bit 104" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB103 ,Group Status Bit 103" "Group 0,Group 1" bitfld.long 0x00 6. " GSB102 ,Group Status Bit 102" "Group 0,Group 1" bitfld.long 0x00 5. " GSB101 ,Group Status Bit 101" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB100 ,Group Status Bit 100" "Group 0,Group 1" bitfld.long 0x00 3. " GSB99 ,Group Status Bit 99" "Group 0,Group 1" bitfld.long 0x00 2. " GSB98 ,Group Status Bit 98" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB97 ,Group Status Bit 97" "Group 0,Group 1" bitfld.long 0x00 0. " GSB96 ,Group Status Bit 96" "Group 0,Group 1" else rgroup.long 0x008C++0x03 line.long 0x0 "GICD_IGROUPR3,Interrupt Group Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0090)) group.long 0x0090++0x03 line.long 0x0 "GICD_IGROUPR4,Interrupt Group Register 4 (Secure access)" bitfld.long 0x00 31. " GSB159 ,Group Status Bit 159" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB158 ,Group Status Bit 158" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB157 ,Group Status Bit 157" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB156 ,Group Status Bit 156" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB155 ,Group Status Bit 155" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB154 ,Group Status Bit 154" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB153 ,Group Status Bit 153" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB152 ,Group Status Bit 152" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB151 ,Group Status Bit 151" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB150 ,Group Status Bit 150" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB149 ,Group Status Bit 149" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB148 ,Group Status Bit 148" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB147 ,Group Status Bit 147" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB146 ,Group Status Bit 146" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB145 ,Group Status Bit 145" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB144 ,Group Status Bit 144" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB143 ,Group Status Bit 143" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB142 ,Group Status Bit 142" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB141 ,Group Status Bit 141" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB140 ,Group Status Bit 140" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB139 ,Group Status Bit 139" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB138 ,Group Status Bit 138" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB137 ,Group Status Bit 137" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB136 ,Group Status Bit 136" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB135 ,Group Status Bit 135" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB134 ,Group Status Bit 134" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB133 ,Group Status Bit 133" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB132 ,Group Status Bit 132" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB131 ,Group Status Bit 131" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB130 ,Group Status Bit 130" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB129 ,Group Status Bit 129" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB128 ,Group Status Bit 128" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x0090++0x03 line.long 0x0 "GICD_IGROUPR4,Interrupt Group Register 4 (Non-secure access)" bitfld.long 0x00 31. " GSB159 ,Group Status Bit 159" "Group 0,Group 1" bitfld.long 0x00 30. " GSB158 ,Group Status Bit 158" "Group 0,Group 1" bitfld.long 0x00 29. " GSB157 ,Group Status Bit 157" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB156 ,Group Status Bit 156" "Group 0,Group 1" bitfld.long 0x00 27. " GSB155 ,Group Status Bit 155" "Group 0,Group 1" bitfld.long 0x00 26. " GSB154 ,Group Status Bit 154" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB153 ,Group Status Bit 153" "Group 0,Group 1" bitfld.long 0x00 24. " GSB152 ,Group Status Bit 152" "Group 0,Group 1" bitfld.long 0x00 23. " GSB151 ,Group Status Bit 151" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB150 ,Group Status Bit 150" "Group 0,Group 1" bitfld.long 0x00 21. " GSB149 ,Group Status Bit 149" "Group 0,Group 1" bitfld.long 0x00 20. " GSB148 ,Group Status Bit 148" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB147 ,Group Status Bit 147" "Group 0,Group 1" bitfld.long 0x00 18. " GSB146 ,Group Status Bit 146" "Group 0,Group 1" bitfld.long 0x00 17. " GSB145 ,Group Status Bit 145" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB144 ,Group Status Bit 144" "Group 0,Group 1" bitfld.long 0x00 15. " GSB143 ,Group Status Bit 143" "Group 0,Group 1" bitfld.long 0x00 14. " GSB142 ,Group Status Bit 142" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB141 ,Group Status Bit 141" "Group 0,Group 1" bitfld.long 0x00 12. " GSB140 ,Group Status Bit 140" "Group 0,Group 1" bitfld.long 0x00 11. " GSB139 ,Group Status Bit 139" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB138 ,Group Status Bit 138" "Group 0,Group 1" bitfld.long 0x00 9. " GSB137 ,Group Status Bit 137" "Group 0,Group 1" bitfld.long 0x00 8. " GSB136 ,Group Status Bit 136" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB135 ,Group Status Bit 135" "Group 0,Group 1" bitfld.long 0x00 6. " GSB134 ,Group Status Bit 134" "Group 0,Group 1" bitfld.long 0x00 5. " GSB133 ,Group Status Bit 133" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB132 ,Group Status Bit 132" "Group 0,Group 1" bitfld.long 0x00 3. " GSB131 ,Group Status Bit 131" "Group 0,Group 1" bitfld.long 0x00 2. " GSB130 ,Group Status Bit 130" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB129 ,Group Status Bit 129" "Group 0,Group 1" bitfld.long 0x00 0. " GSB128 ,Group Status Bit 128" "Group 0,Group 1" else rgroup.long 0x0090++0x03 line.long 0x0 "GICD_IGROUPR4,Interrupt Group Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0094)) group.long 0x0094++0x03 line.long 0x0 "GICD_IGROUPR5,Interrupt Group Register 5 (Secure access)" bitfld.long 0x00 31. " GSB191 ,Group Status Bit 191" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB190 ,Group Status Bit 190" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB189 ,Group Status Bit 189" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB188 ,Group Status Bit 188" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB187 ,Group Status Bit 187" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB186 ,Group Status Bit 186" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB185 ,Group Status Bit 185" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB184 ,Group Status Bit 184" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB183 ,Group Status Bit 183" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB182 ,Group Status Bit 182" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB181 ,Group Status Bit 181" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB180 ,Group Status Bit 180" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB179 ,Group Status Bit 179" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB178 ,Group Status Bit 178" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB177 ,Group Status Bit 177" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB176 ,Group Status Bit 176" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB175 ,Group Status Bit 175" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB174 ,Group Status Bit 174" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB173 ,Group Status Bit 173" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB172 ,Group Status Bit 172" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB171 ,Group Status Bit 171" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB170 ,Group Status Bit 170" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB169 ,Group Status Bit 169" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB168 ,Group Status Bit 168" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB167 ,Group Status Bit 167" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB166 ,Group Status Bit 166" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB165 ,Group Status Bit 165" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB164 ,Group Status Bit 164" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB163 ,Group Status Bit 163" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB162 ,Group Status Bit 162" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB161 ,Group Status Bit 161" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB160 ,Group Status Bit 160" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x0094++0x03 line.long 0x0 "GICD_IGROUPR5,Interrupt Group Register 5 (Non-secure access)" bitfld.long 0x00 31. " GSB191 ,Group Status Bit 191" "Group 0,Group 1" bitfld.long 0x00 30. " GSB190 ,Group Status Bit 190" "Group 0,Group 1" bitfld.long 0x00 29. " GSB189 ,Group Status Bit 189" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB188 ,Group Status Bit 188" "Group 0,Group 1" bitfld.long 0x00 27. " GSB187 ,Group Status Bit 187" "Group 0,Group 1" bitfld.long 0x00 26. " GSB186 ,Group Status Bit 186" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB185 ,Group Status Bit 185" "Group 0,Group 1" bitfld.long 0x00 24. " GSB184 ,Group Status Bit 184" "Group 0,Group 1" bitfld.long 0x00 23. " GSB183 ,Group Status Bit 183" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB182 ,Group Status Bit 182" "Group 0,Group 1" bitfld.long 0x00 21. " GSB181 ,Group Status Bit 181" "Group 0,Group 1" bitfld.long 0x00 20. " GSB180 ,Group Status Bit 180" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB179 ,Group Status Bit 179" "Group 0,Group 1" bitfld.long 0x00 18. " GSB178 ,Group Status Bit 178" "Group 0,Group 1" bitfld.long 0x00 17. " GSB177 ,Group Status Bit 177" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB176 ,Group Status Bit 176" "Group 0,Group 1" bitfld.long 0x00 15. " GSB175 ,Group Status Bit 175" "Group 0,Group 1" bitfld.long 0x00 14. " GSB174 ,Group Status Bit 174" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB173 ,Group Status Bit 173" "Group 0,Group 1" bitfld.long 0x00 12. " GSB172 ,Group Status Bit 172" "Group 0,Group 1" bitfld.long 0x00 11. " GSB171 ,Group Status Bit 171" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB170 ,Group Status Bit 170" "Group 0,Group 1" bitfld.long 0x00 9. " GSB169 ,Group Status Bit 169" "Group 0,Group 1" bitfld.long 0x00 8. " GSB168 ,Group Status Bit 168" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB167 ,Group Status Bit 167" "Group 0,Group 1" bitfld.long 0x00 6. " GSB166 ,Group Status Bit 166" "Group 0,Group 1" bitfld.long 0x00 5. " GSB165 ,Group Status Bit 165" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB164 ,Group Status Bit 164" "Group 0,Group 1" bitfld.long 0x00 3. " GSB163 ,Group Status Bit 163" "Group 0,Group 1" bitfld.long 0x00 2. " GSB162 ,Group Status Bit 162" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB161 ,Group Status Bit 161" "Group 0,Group 1" bitfld.long 0x00 0. " GSB160 ,Group Status Bit 160" "Group 0,Group 1" else rgroup.long 0x0094++0x03 line.long 0x0 "GICD_IGROUPR5,Interrupt Group Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x0098)) group.long 0x0098++0x03 line.long 0x0 "GICD_IGROUPR6,Interrupt Group Register 6 (Secure access)" bitfld.long 0x00 31. " GSB223 ,Group Status Bit 223" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB222 ,Group Status Bit 222" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB221 ,Group Status Bit 221" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB220 ,Group Status Bit 220" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB219 ,Group Status Bit 219" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB218 ,Group Status Bit 218" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB217 ,Group Status Bit 217" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB216 ,Group Status Bit 216" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB215 ,Group Status Bit 215" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB214 ,Group Status Bit 214" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB213 ,Group Status Bit 213" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB212 ,Group Status Bit 212" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB211 ,Group Status Bit 211" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB210 ,Group Status Bit 210" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB209 ,Group Status Bit 209" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB208 ,Group Status Bit 208" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB207 ,Group Status Bit 207" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB206 ,Group Status Bit 206" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB205 ,Group Status Bit 205" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB204 ,Group Status Bit 204" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB203 ,Group Status Bit 203" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB202 ,Group Status Bit 202" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB201 ,Group Status Bit 201" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB200 ,Group Status Bit 200" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB199 ,Group Status Bit 199" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB198 ,Group Status Bit 198" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB197 ,Group Status Bit 197" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB196 ,Group Status Bit 196" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB195 ,Group Status Bit 195" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB194 ,Group Status Bit 194" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB193 ,Group Status Bit 193" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB192 ,Group Status Bit 192" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x0098++0x03 line.long 0x0 "GICD_IGROUPR6,Interrupt Group Register 6 (Non-secure access)" bitfld.long 0x00 31. " GSB223 ,Group Status Bit 223" "Group 0,Group 1" bitfld.long 0x00 30. " GSB222 ,Group Status Bit 222" "Group 0,Group 1" bitfld.long 0x00 29. " GSB221 ,Group Status Bit 221" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB220 ,Group Status Bit 220" "Group 0,Group 1" bitfld.long 0x00 27. " GSB219 ,Group Status Bit 219" "Group 0,Group 1" bitfld.long 0x00 26. " GSB218 ,Group Status Bit 218" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB217 ,Group Status Bit 217" "Group 0,Group 1" bitfld.long 0x00 24. " GSB216 ,Group Status Bit 216" "Group 0,Group 1" bitfld.long 0x00 23. " GSB215 ,Group Status Bit 215" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB214 ,Group Status Bit 214" "Group 0,Group 1" bitfld.long 0x00 21. " GSB213 ,Group Status Bit 213" "Group 0,Group 1" bitfld.long 0x00 20. " GSB212 ,Group Status Bit 212" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB211 ,Group Status Bit 211" "Group 0,Group 1" bitfld.long 0x00 18. " GSB210 ,Group Status Bit 210" "Group 0,Group 1" bitfld.long 0x00 17. " GSB209 ,Group Status Bit 209" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB208 ,Group Status Bit 208" "Group 0,Group 1" bitfld.long 0x00 15. " GSB207 ,Group Status Bit 207" "Group 0,Group 1" bitfld.long 0x00 14. " GSB206 ,Group Status Bit 206" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB205 ,Group Status Bit 205" "Group 0,Group 1" bitfld.long 0x00 12. " GSB204 ,Group Status Bit 204" "Group 0,Group 1" bitfld.long 0x00 11. " GSB203 ,Group Status Bit 203" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB202 ,Group Status Bit 202" "Group 0,Group 1" bitfld.long 0x00 9. " GSB201 ,Group Status Bit 201" "Group 0,Group 1" bitfld.long 0x00 8. " GSB200 ,Group Status Bit 200" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB199 ,Group Status Bit 199" "Group 0,Group 1" bitfld.long 0x00 6. " GSB198 ,Group Status Bit 198" "Group 0,Group 1" bitfld.long 0x00 5. " GSB197 ,Group Status Bit 197" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB196 ,Group Status Bit 196" "Group 0,Group 1" bitfld.long 0x00 3. " GSB195 ,Group Status Bit 195" "Group 0,Group 1" bitfld.long 0x00 2. " GSB194 ,Group Status Bit 194" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB193 ,Group Status Bit 193" "Group 0,Group 1" bitfld.long 0x00 0. " GSB192 ,Group Status Bit 192" "Group 0,Group 1" else rgroup.long 0x0098++0x03 line.long 0x0 "GICD_IGROUPR6,Interrupt Group Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x009C)) group.long 0x009C++0x03 line.long 0x0 "GICD_IGROUPR7,Interrupt Group Register 7 (Secure access)" bitfld.long 0x00 31. " GSB255 ,Group Status Bit 255" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB254 ,Group Status Bit 254" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB253 ,Group Status Bit 253" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB252 ,Group Status Bit 252" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB251 ,Group Status Bit 251" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB250 ,Group Status Bit 250" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB249 ,Group Status Bit 249" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB248 ,Group Status Bit 248" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB247 ,Group Status Bit 247" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB246 ,Group Status Bit 246" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB245 ,Group Status Bit 245" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB244 ,Group Status Bit 244" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB243 ,Group Status Bit 243" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB242 ,Group Status Bit 242" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB241 ,Group Status Bit 241" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB240 ,Group Status Bit 240" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB239 ,Group Status Bit 239" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB238 ,Group Status Bit 238" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB237 ,Group Status Bit 237" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB236 ,Group Status Bit 236" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB235 ,Group Status Bit 235" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB234 ,Group Status Bit 234" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB233 ,Group Status Bit 233" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB232 ,Group Status Bit 232" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB231 ,Group Status Bit 231" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB230 ,Group Status Bit 230" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB229 ,Group Status Bit 229" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB228 ,Group Status Bit 228" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB227 ,Group Status Bit 227" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB226 ,Group Status Bit 226" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB225 ,Group Status Bit 225" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB224 ,Group Status Bit 224" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x009C++0x03 line.long 0x0 "GICD_IGROUPR7,Interrupt Group Register 7 (Non-secure access)" bitfld.long 0x00 31. " GSB255 ,Group Status Bit 255" "Group 0,Group 1" bitfld.long 0x00 30. " GSB254 ,Group Status Bit 254" "Group 0,Group 1" bitfld.long 0x00 29. " GSB253 ,Group Status Bit 253" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB252 ,Group Status Bit 252" "Group 0,Group 1" bitfld.long 0x00 27. " GSB251 ,Group Status Bit 251" "Group 0,Group 1" bitfld.long 0x00 26. " GSB250 ,Group Status Bit 250" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB249 ,Group Status Bit 249" "Group 0,Group 1" bitfld.long 0x00 24. " GSB248 ,Group Status Bit 248" "Group 0,Group 1" bitfld.long 0x00 23. " GSB247 ,Group Status Bit 247" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB246 ,Group Status Bit 246" "Group 0,Group 1" bitfld.long 0x00 21. " GSB245 ,Group Status Bit 245" "Group 0,Group 1" bitfld.long 0x00 20. " GSB244 ,Group Status Bit 244" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB243 ,Group Status Bit 243" "Group 0,Group 1" bitfld.long 0x00 18. " GSB242 ,Group Status Bit 242" "Group 0,Group 1" bitfld.long 0x00 17. " GSB241 ,Group Status Bit 241" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB240 ,Group Status Bit 240" "Group 0,Group 1" bitfld.long 0x00 15. " GSB239 ,Group Status Bit 239" "Group 0,Group 1" bitfld.long 0x00 14. " GSB238 ,Group Status Bit 238" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB237 ,Group Status Bit 237" "Group 0,Group 1" bitfld.long 0x00 12. " GSB236 ,Group Status Bit 236" "Group 0,Group 1" bitfld.long 0x00 11. " GSB235 ,Group Status Bit 235" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB234 ,Group Status Bit 234" "Group 0,Group 1" bitfld.long 0x00 9. " GSB233 ,Group Status Bit 233" "Group 0,Group 1" bitfld.long 0x00 8. " GSB232 ,Group Status Bit 232" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB231 ,Group Status Bit 231" "Group 0,Group 1" bitfld.long 0x00 6. " GSB230 ,Group Status Bit 230" "Group 0,Group 1" bitfld.long 0x00 5. " GSB229 ,Group Status Bit 229" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB228 ,Group Status Bit 228" "Group 0,Group 1" bitfld.long 0x00 3. " GSB227 ,Group Status Bit 227" "Group 0,Group 1" bitfld.long 0x00 2. " GSB226 ,Group Status Bit 226" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB225 ,Group Status Bit 225" "Group 0,Group 1" bitfld.long 0x00 0. " GSB224 ,Group Status Bit 224" "Group 0,Group 1" else rgroup.long 0x009C++0x03 line.long 0x0 "GICD_IGROUPR7,Interrupt Group Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00A0)) group.long 0x00A0++0x03 line.long 0x0 "GICD_IGROUPR8,Interrupt Group Register 8 (Secure access)" bitfld.long 0x00 31. " GSB287 ,Group Status Bit 287" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB286 ,Group Status Bit 286" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB285 ,Group Status Bit 285" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB284 ,Group Status Bit 284" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB283 ,Group Status Bit 283" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB282 ,Group Status Bit 282" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB281 ,Group Status Bit 281" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB280 ,Group Status Bit 280" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB279 ,Group Status Bit 279" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB278 ,Group Status Bit 278" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB277 ,Group Status Bit 277" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB276 ,Group Status Bit 276" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB275 ,Group Status Bit 275" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB274 ,Group Status Bit 274" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB273 ,Group Status Bit 273" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB272 ,Group Status Bit 272" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB271 ,Group Status Bit 271" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB270 ,Group Status Bit 270" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB269 ,Group Status Bit 269" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB268 ,Group Status Bit 268" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB267 ,Group Status Bit 267" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB266 ,Group Status Bit 266" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB265 ,Group Status Bit 265" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB264 ,Group Status Bit 264" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB263 ,Group Status Bit 263" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB262 ,Group Status Bit 262" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB261 ,Group Status Bit 261" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB260 ,Group Status Bit 260" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB259 ,Group Status Bit 259" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB258 ,Group Status Bit 258" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB257 ,Group Status Bit 257" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB256 ,Group Status Bit 256" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x00A0++0x03 line.long 0x0 "GICD_IGROUPR8,Interrupt Group Register 8 (Non-secure access)" bitfld.long 0x00 31. " GSB287 ,Group Status Bit 287" "Group 0,Group 1" bitfld.long 0x00 30. " GSB286 ,Group Status Bit 286" "Group 0,Group 1" bitfld.long 0x00 29. " GSB285 ,Group Status Bit 285" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB284 ,Group Status Bit 284" "Group 0,Group 1" bitfld.long 0x00 27. " GSB283 ,Group Status Bit 283" "Group 0,Group 1" bitfld.long 0x00 26. " GSB282 ,Group Status Bit 282" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB281 ,Group Status Bit 281" "Group 0,Group 1" bitfld.long 0x00 24. " GSB280 ,Group Status Bit 280" "Group 0,Group 1" bitfld.long 0x00 23. " GSB279 ,Group Status Bit 279" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB278 ,Group Status Bit 278" "Group 0,Group 1" bitfld.long 0x00 21. " GSB277 ,Group Status Bit 277" "Group 0,Group 1" bitfld.long 0x00 20. " GSB276 ,Group Status Bit 276" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB275 ,Group Status Bit 275" "Group 0,Group 1" bitfld.long 0x00 18. " GSB274 ,Group Status Bit 274" "Group 0,Group 1" bitfld.long 0x00 17. " GSB273 ,Group Status Bit 273" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB272 ,Group Status Bit 272" "Group 0,Group 1" bitfld.long 0x00 15. " GSB271 ,Group Status Bit 271" "Group 0,Group 1" bitfld.long 0x00 14. " GSB270 ,Group Status Bit 270" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB269 ,Group Status Bit 269" "Group 0,Group 1" bitfld.long 0x00 12. " GSB268 ,Group Status Bit 268" "Group 0,Group 1" bitfld.long 0x00 11. " GSB267 ,Group Status Bit 267" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB266 ,Group Status Bit 266" "Group 0,Group 1" bitfld.long 0x00 9. " GSB265 ,Group Status Bit 265" "Group 0,Group 1" bitfld.long 0x00 8. " GSB264 ,Group Status Bit 264" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB263 ,Group Status Bit 263" "Group 0,Group 1" bitfld.long 0x00 6. " GSB262 ,Group Status Bit 262" "Group 0,Group 1" bitfld.long 0x00 5. " GSB261 ,Group Status Bit 261" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB260 ,Group Status Bit 260" "Group 0,Group 1" bitfld.long 0x00 3. " GSB259 ,Group Status Bit 259" "Group 0,Group 1" bitfld.long 0x00 2. " GSB258 ,Group Status Bit 258" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB257 ,Group Status Bit 257" "Group 0,Group 1" bitfld.long 0x00 0. " GSB256 ,Group Status Bit 256" "Group 0,Group 1" else rgroup.long 0x00A0++0x03 line.long 0x0 "GICD_IGROUPR8,Interrupt Group Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00A4)) group.long 0x00A4++0x03 line.long 0x0 "GICD_IGROUPR9,Interrupt Group Register 9 (Secure access)" bitfld.long 0x00 31. " GSB319 ,Group Status Bit 319" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB318 ,Group Status Bit 318" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB317 ,Group Status Bit 317" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB316 ,Group Status Bit 316" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB315 ,Group Status Bit 315" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB314 ,Group Status Bit 314" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB313 ,Group Status Bit 313" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB312 ,Group Status Bit 312" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB311 ,Group Status Bit 311" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB310 ,Group Status Bit 310" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB309 ,Group Status Bit 309" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB308 ,Group Status Bit 308" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB307 ,Group Status Bit 307" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB306 ,Group Status Bit 306" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB305 ,Group Status Bit 305" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB304 ,Group Status Bit 304" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB303 ,Group Status Bit 303" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB302 ,Group Status Bit 302" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB301 ,Group Status Bit 301" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB300 ,Group Status Bit 300" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB299 ,Group Status Bit 299" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB298 ,Group Status Bit 298" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB297 ,Group Status Bit 297" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB296 ,Group Status Bit 296" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB295 ,Group Status Bit 295" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB294 ,Group Status Bit 294" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB293 ,Group Status Bit 293" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB292 ,Group Status Bit 292" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB291 ,Group Status Bit 291" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB290 ,Group Status Bit 290" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB289 ,Group Status Bit 289" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB288 ,Group Status Bit 288" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x00A4++0x03 line.long 0x0 "GICD_IGROUPR9,Interrupt Group Register 9 (Non-secure access)" bitfld.long 0x00 31. " GSB319 ,Group Status Bit 319" "Group 0,Group 1" bitfld.long 0x00 30. " GSB318 ,Group Status Bit 318" "Group 0,Group 1" bitfld.long 0x00 29. " GSB317 ,Group Status Bit 317" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB316 ,Group Status Bit 316" "Group 0,Group 1" bitfld.long 0x00 27. " GSB315 ,Group Status Bit 315" "Group 0,Group 1" bitfld.long 0x00 26. " GSB314 ,Group Status Bit 314" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB313 ,Group Status Bit 313" "Group 0,Group 1" bitfld.long 0x00 24. " GSB312 ,Group Status Bit 312" "Group 0,Group 1" bitfld.long 0x00 23. " GSB311 ,Group Status Bit 311" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB310 ,Group Status Bit 310" "Group 0,Group 1" bitfld.long 0x00 21. " GSB309 ,Group Status Bit 309" "Group 0,Group 1" bitfld.long 0x00 20. " GSB308 ,Group Status Bit 308" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB307 ,Group Status Bit 307" "Group 0,Group 1" bitfld.long 0x00 18. " GSB306 ,Group Status Bit 306" "Group 0,Group 1" bitfld.long 0x00 17. " GSB305 ,Group Status Bit 305" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB304 ,Group Status Bit 304" "Group 0,Group 1" bitfld.long 0x00 15. " GSB303 ,Group Status Bit 303" "Group 0,Group 1" bitfld.long 0x00 14. " GSB302 ,Group Status Bit 302" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB301 ,Group Status Bit 301" "Group 0,Group 1" bitfld.long 0x00 12. " GSB300 ,Group Status Bit 300" "Group 0,Group 1" bitfld.long 0x00 11. " GSB299 ,Group Status Bit 299" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB298 ,Group Status Bit 298" "Group 0,Group 1" bitfld.long 0x00 9. " GSB297 ,Group Status Bit 297" "Group 0,Group 1" bitfld.long 0x00 8. " GSB296 ,Group Status Bit 296" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB295 ,Group Status Bit 295" "Group 0,Group 1" bitfld.long 0x00 6. " GSB294 ,Group Status Bit 294" "Group 0,Group 1" bitfld.long 0x00 5. " GSB293 ,Group Status Bit 293" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB292 ,Group Status Bit 292" "Group 0,Group 1" bitfld.long 0x00 3. " GSB291 ,Group Status Bit 291" "Group 0,Group 1" bitfld.long 0x00 2. " GSB290 ,Group Status Bit 290" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB289 ,Group Status Bit 289" "Group 0,Group 1" bitfld.long 0x00 0. " GSB288 ,Group Status Bit 288" "Group 0,Group 1" else rgroup.long 0x00A4++0x03 line.long 0x0 "GICD_IGROUPR9,Interrupt Group Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00A8)) group.long 0x00A8++0x03 line.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10 (Secure access)" bitfld.long 0x00 31. " GSB351 ,Group Status Bit 351" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB350 ,Group Status Bit 350" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB349 ,Group Status Bit 349" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB348 ,Group Status Bit 348" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB347 ,Group Status Bit 347" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB346 ,Group Status Bit 346" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB345 ,Group Status Bit 345" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB344 ,Group Status Bit 344" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB343 ,Group Status Bit 343" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB342 ,Group Status Bit 342" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB341 ,Group Status Bit 341" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB340 ,Group Status Bit 340" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB339 ,Group Status Bit 339" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB338 ,Group Status Bit 338" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB337 ,Group Status Bit 337" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB336 ,Group Status Bit 336" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB335 ,Group Status Bit 335" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB334 ,Group Status Bit 334" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB333 ,Group Status Bit 333" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB332 ,Group Status Bit 332" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB331 ,Group Status Bit 331" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB330 ,Group Status Bit 330" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB329 ,Group Status Bit 329" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB328 ,Group Status Bit 328" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB327 ,Group Status Bit 327" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB326 ,Group Status Bit 326" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB325 ,Group Status Bit 325" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB324 ,Group Status Bit 324" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB323 ,Group Status Bit 323" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB322 ,Group Status Bit 322" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB321 ,Group Status Bit 321" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB320 ,Group Status Bit 320" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x00A8++0x03 line.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10 (Non-secure access)" bitfld.long 0x00 31. " GSB351 ,Group Status Bit 351" "Group 0,Group 1" bitfld.long 0x00 30. " GSB350 ,Group Status Bit 350" "Group 0,Group 1" bitfld.long 0x00 29. " GSB349 ,Group Status Bit 349" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB348 ,Group Status Bit 348" "Group 0,Group 1" bitfld.long 0x00 27. " GSB347 ,Group Status Bit 347" "Group 0,Group 1" bitfld.long 0x00 26. " GSB346 ,Group Status Bit 346" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB345 ,Group Status Bit 345" "Group 0,Group 1" bitfld.long 0x00 24. " GSB344 ,Group Status Bit 344" "Group 0,Group 1" bitfld.long 0x00 23. " GSB343 ,Group Status Bit 343" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB342 ,Group Status Bit 342" "Group 0,Group 1" bitfld.long 0x00 21. " GSB341 ,Group Status Bit 341" "Group 0,Group 1" bitfld.long 0x00 20. " GSB340 ,Group Status Bit 340" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB339 ,Group Status Bit 339" "Group 0,Group 1" bitfld.long 0x00 18. " GSB338 ,Group Status Bit 338" "Group 0,Group 1" bitfld.long 0x00 17. " GSB337 ,Group Status Bit 337" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB336 ,Group Status Bit 336" "Group 0,Group 1" bitfld.long 0x00 15. " GSB335 ,Group Status Bit 335" "Group 0,Group 1" bitfld.long 0x00 14. " GSB334 ,Group Status Bit 334" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB333 ,Group Status Bit 333" "Group 0,Group 1" bitfld.long 0x00 12. " GSB332 ,Group Status Bit 332" "Group 0,Group 1" bitfld.long 0x00 11. " GSB331 ,Group Status Bit 331" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB330 ,Group Status Bit 330" "Group 0,Group 1" bitfld.long 0x00 9. " GSB329 ,Group Status Bit 329" "Group 0,Group 1" bitfld.long 0x00 8. " GSB328 ,Group Status Bit 328" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB327 ,Group Status Bit 327" "Group 0,Group 1" bitfld.long 0x00 6. " GSB326 ,Group Status Bit 326" "Group 0,Group 1" bitfld.long 0x00 5. " GSB325 ,Group Status Bit 325" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB324 ,Group Status Bit 324" "Group 0,Group 1" bitfld.long 0x00 3. " GSB323 ,Group Status Bit 323" "Group 0,Group 1" bitfld.long 0x00 2. " GSB322 ,Group Status Bit 322" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB321 ,Group Status Bit 321" "Group 0,Group 1" bitfld.long 0x00 0. " GSB320 ,Group Status Bit 320" "Group 0,Group 1" else rgroup.long 0x00A8++0x03 line.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00AC)) group.long 0x00AC++0x03 line.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11 (Secure access)" bitfld.long 0x00 31. " GSB383 ,Group Status Bit 383" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB382 ,Group Status Bit 382" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB381 ,Group Status Bit 381" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB380 ,Group Status Bit 380" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB379 ,Group Status Bit 379" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB378 ,Group Status Bit 378" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB377 ,Group Status Bit 377" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB376 ,Group Status Bit 376" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB375 ,Group Status Bit 375" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB374 ,Group Status Bit 374" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB373 ,Group Status Bit 373" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB372 ,Group Status Bit 372" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB371 ,Group Status Bit 371" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB370 ,Group Status Bit 370" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB369 ,Group Status Bit 369" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB368 ,Group Status Bit 368" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB367 ,Group Status Bit 367" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB366 ,Group Status Bit 366" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB365 ,Group Status Bit 365" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB364 ,Group Status Bit 364" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB363 ,Group Status Bit 363" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB362 ,Group Status Bit 362" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB361 ,Group Status Bit 361" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB360 ,Group Status Bit 360" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB359 ,Group Status Bit 359" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB358 ,Group Status Bit 358" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB357 ,Group Status Bit 357" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB356 ,Group Status Bit 356" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB355 ,Group Status Bit 355" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB354 ,Group Status Bit 354" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB353 ,Group Status Bit 353" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB352 ,Group Status Bit 352" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x00AC++0x03 line.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11 (Non-secure access)" bitfld.long 0x00 31. " GSB383 ,Group Status Bit 383" "Group 0,Group 1" bitfld.long 0x00 30. " GSB382 ,Group Status Bit 382" "Group 0,Group 1" bitfld.long 0x00 29. " GSB381 ,Group Status Bit 381" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB380 ,Group Status Bit 380" "Group 0,Group 1" bitfld.long 0x00 27. " GSB379 ,Group Status Bit 379" "Group 0,Group 1" bitfld.long 0x00 26. " GSB378 ,Group Status Bit 378" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB377 ,Group Status Bit 377" "Group 0,Group 1" bitfld.long 0x00 24. " GSB376 ,Group Status Bit 376" "Group 0,Group 1" bitfld.long 0x00 23. " GSB375 ,Group Status Bit 375" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB374 ,Group Status Bit 374" "Group 0,Group 1" bitfld.long 0x00 21. " GSB373 ,Group Status Bit 373" "Group 0,Group 1" bitfld.long 0x00 20. " GSB372 ,Group Status Bit 372" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB371 ,Group Status Bit 371" "Group 0,Group 1" bitfld.long 0x00 18. " GSB370 ,Group Status Bit 370" "Group 0,Group 1" bitfld.long 0x00 17. " GSB369 ,Group Status Bit 369" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB368 ,Group Status Bit 368" "Group 0,Group 1" bitfld.long 0x00 15. " GSB367 ,Group Status Bit 367" "Group 0,Group 1" bitfld.long 0x00 14. " GSB366 ,Group Status Bit 366" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB365 ,Group Status Bit 365" "Group 0,Group 1" bitfld.long 0x00 12. " GSB364 ,Group Status Bit 364" "Group 0,Group 1" bitfld.long 0x00 11. " GSB363 ,Group Status Bit 363" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB362 ,Group Status Bit 362" "Group 0,Group 1" bitfld.long 0x00 9. " GSB361 ,Group Status Bit 361" "Group 0,Group 1" bitfld.long 0x00 8. " GSB360 ,Group Status Bit 360" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB359 ,Group Status Bit 359" "Group 0,Group 1" bitfld.long 0x00 6. " GSB358 ,Group Status Bit 358" "Group 0,Group 1" bitfld.long 0x00 5. " GSB357 ,Group Status Bit 357" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB356 ,Group Status Bit 356" "Group 0,Group 1" bitfld.long 0x00 3. " GSB355 ,Group Status Bit 355" "Group 0,Group 1" bitfld.long 0x00 2. " GSB354 ,Group Status Bit 354" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB353 ,Group Status Bit 353" "Group 0,Group 1" bitfld.long 0x00 0. " GSB352 ,Group Status Bit 352" "Group 0,Group 1" else rgroup.long 0x00AC++0x03 line.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00B0)) group.long 0x00B0++0x03 line.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12 (Secure access)" bitfld.long 0x00 31. " GSB415 ,Group Status Bit 415" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB414 ,Group Status Bit 414" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB413 ,Group Status Bit 413" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB412 ,Group Status Bit 412" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB411 ,Group Status Bit 411" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB410 ,Group Status Bit 410" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB409 ,Group Status Bit 409" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB408 ,Group Status Bit 408" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB407 ,Group Status Bit 407" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB406 ,Group Status Bit 406" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB405 ,Group Status Bit 405" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB404 ,Group Status Bit 404" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB403 ,Group Status Bit 403" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB402 ,Group Status Bit 402" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB401 ,Group Status Bit 401" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB400 ,Group Status Bit 400" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB399 ,Group Status Bit 399" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB398 ,Group Status Bit 398" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB397 ,Group Status Bit 397" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB396 ,Group Status Bit 396" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB395 ,Group Status Bit 395" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB394 ,Group Status Bit 394" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB393 ,Group Status Bit 393" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB392 ,Group Status Bit 392" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB391 ,Group Status Bit 391" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB390 ,Group Status Bit 390" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB389 ,Group Status Bit 389" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB388 ,Group Status Bit 388" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB387 ,Group Status Bit 387" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB386 ,Group Status Bit 386" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB385 ,Group Status Bit 385" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB384 ,Group Status Bit 384" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x00B0++0x03 line.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12 (Non-secure access)" bitfld.long 0x00 31. " GSB415 ,Group Status Bit 415" "Group 0,Group 1" bitfld.long 0x00 30. " GSB414 ,Group Status Bit 414" "Group 0,Group 1" bitfld.long 0x00 29. " GSB413 ,Group Status Bit 413" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB412 ,Group Status Bit 412" "Group 0,Group 1" bitfld.long 0x00 27. " GSB411 ,Group Status Bit 411" "Group 0,Group 1" bitfld.long 0x00 26. " GSB410 ,Group Status Bit 410" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB409 ,Group Status Bit 409" "Group 0,Group 1" bitfld.long 0x00 24. " GSB408 ,Group Status Bit 408" "Group 0,Group 1" bitfld.long 0x00 23. " GSB407 ,Group Status Bit 407" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB406 ,Group Status Bit 406" "Group 0,Group 1" bitfld.long 0x00 21. " GSB405 ,Group Status Bit 405" "Group 0,Group 1" bitfld.long 0x00 20. " GSB404 ,Group Status Bit 404" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB403 ,Group Status Bit 403" "Group 0,Group 1" bitfld.long 0x00 18. " GSB402 ,Group Status Bit 402" "Group 0,Group 1" bitfld.long 0x00 17. " GSB401 ,Group Status Bit 401" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB400 ,Group Status Bit 400" "Group 0,Group 1" bitfld.long 0x00 15. " GSB399 ,Group Status Bit 399" "Group 0,Group 1" bitfld.long 0x00 14. " GSB398 ,Group Status Bit 398" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB397 ,Group Status Bit 397" "Group 0,Group 1" bitfld.long 0x00 12. " GSB396 ,Group Status Bit 396" "Group 0,Group 1" bitfld.long 0x00 11. " GSB395 ,Group Status Bit 395" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB394 ,Group Status Bit 394" "Group 0,Group 1" bitfld.long 0x00 9. " GSB393 ,Group Status Bit 393" "Group 0,Group 1" bitfld.long 0x00 8. " GSB392 ,Group Status Bit 392" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB391 ,Group Status Bit 391" "Group 0,Group 1" bitfld.long 0x00 6. " GSB390 ,Group Status Bit 390" "Group 0,Group 1" bitfld.long 0x00 5. " GSB389 ,Group Status Bit 389" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB388 ,Group Status Bit 388" "Group 0,Group 1" bitfld.long 0x00 3. " GSB387 ,Group Status Bit 387" "Group 0,Group 1" bitfld.long 0x00 2. " GSB386 ,Group Status Bit 386" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB385 ,Group Status Bit 385" "Group 0,Group 1" bitfld.long 0x00 0. " GSB384 ,Group Status Bit 384" "Group 0,Group 1" else rgroup.long 0x00B0++0x03 line.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00B4)) group.long 0x00B4++0x03 line.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13 (Secure access)" bitfld.long 0x00 31. " GSB447 ,Group Status Bit 447" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB446 ,Group Status Bit 446" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB445 ,Group Status Bit 445" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB444 ,Group Status Bit 444" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB443 ,Group Status Bit 443" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB442 ,Group Status Bit 442" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB441 ,Group Status Bit 441" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB440 ,Group Status Bit 440" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB439 ,Group Status Bit 439" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB438 ,Group Status Bit 438" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB437 ,Group Status Bit 437" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB436 ,Group Status Bit 436" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB435 ,Group Status Bit 435" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB434 ,Group Status Bit 434" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB433 ,Group Status Bit 433" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB432 ,Group Status Bit 432" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB431 ,Group Status Bit 431" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB430 ,Group Status Bit 430" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB429 ,Group Status Bit 429" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB428 ,Group Status Bit 428" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB427 ,Group Status Bit 427" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB426 ,Group Status Bit 426" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB425 ,Group Status Bit 425" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB424 ,Group Status Bit 424" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB423 ,Group Status Bit 423" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB422 ,Group Status Bit 422" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB421 ,Group Status Bit 421" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB420 ,Group Status Bit 420" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB419 ,Group Status Bit 419" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB418 ,Group Status Bit 418" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB417 ,Group Status Bit 417" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB416 ,Group Status Bit 416" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x00B4++0x03 line.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13 (Non-secure access)" bitfld.long 0x00 31. " GSB447 ,Group Status Bit 447" "Group 0,Group 1" bitfld.long 0x00 30. " GSB446 ,Group Status Bit 446" "Group 0,Group 1" bitfld.long 0x00 29. " GSB445 ,Group Status Bit 445" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB444 ,Group Status Bit 444" "Group 0,Group 1" bitfld.long 0x00 27. " GSB443 ,Group Status Bit 443" "Group 0,Group 1" bitfld.long 0x00 26. " GSB442 ,Group Status Bit 442" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB441 ,Group Status Bit 441" "Group 0,Group 1" bitfld.long 0x00 24. " GSB440 ,Group Status Bit 440" "Group 0,Group 1" bitfld.long 0x00 23. " GSB439 ,Group Status Bit 439" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB438 ,Group Status Bit 438" "Group 0,Group 1" bitfld.long 0x00 21. " GSB437 ,Group Status Bit 437" "Group 0,Group 1" bitfld.long 0x00 20. " GSB436 ,Group Status Bit 436" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB435 ,Group Status Bit 435" "Group 0,Group 1" bitfld.long 0x00 18. " GSB434 ,Group Status Bit 434" "Group 0,Group 1" bitfld.long 0x00 17. " GSB433 ,Group Status Bit 433" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB432 ,Group Status Bit 432" "Group 0,Group 1" bitfld.long 0x00 15. " GSB431 ,Group Status Bit 431" "Group 0,Group 1" bitfld.long 0x00 14. " GSB430 ,Group Status Bit 430" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB429 ,Group Status Bit 429" "Group 0,Group 1" bitfld.long 0x00 12. " GSB428 ,Group Status Bit 428" "Group 0,Group 1" bitfld.long 0x00 11. " GSB427 ,Group Status Bit 427" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB426 ,Group Status Bit 426" "Group 0,Group 1" bitfld.long 0x00 9. " GSB425 ,Group Status Bit 425" "Group 0,Group 1" bitfld.long 0x00 8. " GSB424 ,Group Status Bit 424" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB423 ,Group Status Bit 423" "Group 0,Group 1" bitfld.long 0x00 6. " GSB422 ,Group Status Bit 422" "Group 0,Group 1" bitfld.long 0x00 5. " GSB421 ,Group Status Bit 421" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB420 ,Group Status Bit 420" "Group 0,Group 1" bitfld.long 0x00 3. " GSB419 ,Group Status Bit 419" "Group 0,Group 1" bitfld.long 0x00 2. " GSB418 ,Group Status Bit 418" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB417 ,Group Status Bit 417" "Group 0,Group 1" bitfld.long 0x00 0. " GSB416 ,Group Status Bit 416" "Group 0,Group 1" else rgroup.long 0x00B4++0x03 line.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00B8)) group.long 0x00B8++0x03 line.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14 (Secure access)" bitfld.long 0x00 31. " GSB479 ,Group Status Bit 479" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB478 ,Group Status Bit 478" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB477 ,Group Status Bit 477" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB476 ,Group Status Bit 476" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB475 ,Group Status Bit 475" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB474 ,Group Status Bit 474" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB473 ,Group Status Bit 473" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB472 ,Group Status Bit 472" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB471 ,Group Status Bit 471" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB470 ,Group Status Bit 470" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB469 ,Group Status Bit 469" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB468 ,Group Status Bit 468" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB467 ,Group Status Bit 467" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB466 ,Group Status Bit 466" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB465 ,Group Status Bit 465" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB464 ,Group Status Bit 464" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB463 ,Group Status Bit 463" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB462 ,Group Status Bit 462" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB461 ,Group Status Bit 461" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB460 ,Group Status Bit 460" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB459 ,Group Status Bit 459" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB458 ,Group Status Bit 458" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB457 ,Group Status Bit 457" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB456 ,Group Status Bit 456" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB455 ,Group Status Bit 455" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB454 ,Group Status Bit 454" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB453 ,Group Status Bit 453" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB452 ,Group Status Bit 452" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB451 ,Group Status Bit 451" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB450 ,Group Status Bit 450" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB449 ,Group Status Bit 449" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB448 ,Group Status Bit 448" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x00B8++0x03 line.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14 (Non-secure access)" bitfld.long 0x00 31. " GSB479 ,Group Status Bit 479" "Group 0,Group 1" bitfld.long 0x00 30. " GSB478 ,Group Status Bit 478" "Group 0,Group 1" bitfld.long 0x00 29. " GSB477 ,Group Status Bit 477" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB476 ,Group Status Bit 476" "Group 0,Group 1" bitfld.long 0x00 27. " GSB475 ,Group Status Bit 475" "Group 0,Group 1" bitfld.long 0x00 26. " GSB474 ,Group Status Bit 474" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB473 ,Group Status Bit 473" "Group 0,Group 1" bitfld.long 0x00 24. " GSB472 ,Group Status Bit 472" "Group 0,Group 1" bitfld.long 0x00 23. " GSB471 ,Group Status Bit 471" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB470 ,Group Status Bit 470" "Group 0,Group 1" bitfld.long 0x00 21. " GSB469 ,Group Status Bit 469" "Group 0,Group 1" bitfld.long 0x00 20. " GSB468 ,Group Status Bit 468" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB467 ,Group Status Bit 467" "Group 0,Group 1" bitfld.long 0x00 18. " GSB466 ,Group Status Bit 466" "Group 0,Group 1" bitfld.long 0x00 17. " GSB465 ,Group Status Bit 465" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB464 ,Group Status Bit 464" "Group 0,Group 1" bitfld.long 0x00 15. " GSB463 ,Group Status Bit 463" "Group 0,Group 1" bitfld.long 0x00 14. " GSB462 ,Group Status Bit 462" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB461 ,Group Status Bit 461" "Group 0,Group 1" bitfld.long 0x00 12. " GSB460 ,Group Status Bit 460" "Group 0,Group 1" bitfld.long 0x00 11. " GSB459 ,Group Status Bit 459" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB458 ,Group Status Bit 458" "Group 0,Group 1" bitfld.long 0x00 9. " GSB457 ,Group Status Bit 457" "Group 0,Group 1" bitfld.long 0x00 8. " GSB456 ,Group Status Bit 456" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB455 ,Group Status Bit 455" "Group 0,Group 1" bitfld.long 0x00 6. " GSB454 ,Group Status Bit 454" "Group 0,Group 1" bitfld.long 0x00 5. " GSB453 ,Group Status Bit 453" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB452 ,Group Status Bit 452" "Group 0,Group 1" bitfld.long 0x00 3. " GSB451 ,Group Status Bit 451" "Group 0,Group 1" bitfld.long 0x00 2. " GSB450 ,Group Status Bit 450" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB449 ,Group Status Bit 449" "Group 0,Group 1" bitfld.long 0x00 0. " GSB448 ,Group Status Bit 448" "Group 0,Group 1" else rgroup.long 0x00B8++0x03 line.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00BC)) group.long 0x00BC++0x03 line.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15 (Secure access)" bitfld.long 0x00 31. " GSB511 ,Group Status Bit 511" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB510 ,Group Status Bit 510" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB509 ,Group Status Bit 509" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB508 ,Group Status Bit 508" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB507 ,Group Status Bit 507" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB506 ,Group Status Bit 506" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB505 ,Group Status Bit 505" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB504 ,Group Status Bit 504" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB503 ,Group Status Bit 503" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB502 ,Group Status Bit 502" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB501 ,Group Status Bit 501" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB500 ,Group Status Bit 500" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB499 ,Group Status Bit 499" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB498 ,Group Status Bit 498" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB497 ,Group Status Bit 497" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB496 ,Group Status Bit 496" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB495 ,Group Status Bit 495" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB494 ,Group Status Bit 494" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB493 ,Group Status Bit 493" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB492 ,Group Status Bit 492" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB491 ,Group Status Bit 491" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB490 ,Group Status Bit 490" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB489 ,Group Status Bit 489" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB488 ,Group Status Bit 488" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB487 ,Group Status Bit 487" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB486 ,Group Status Bit 486" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB485 ,Group Status Bit 485" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB484 ,Group Status Bit 484" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB483 ,Group Status Bit 483" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB482 ,Group Status Bit 482" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB481 ,Group Status Bit 481" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB480 ,Group Status Bit 480" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x00BC++0x03 line.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15 (Non-secure access)" bitfld.long 0x00 31. " GSB511 ,Group Status Bit 511" "Group 0,Group 1" bitfld.long 0x00 30. " GSB510 ,Group Status Bit 510" "Group 0,Group 1" bitfld.long 0x00 29. " GSB509 ,Group Status Bit 509" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB508 ,Group Status Bit 508" "Group 0,Group 1" bitfld.long 0x00 27. " GSB507 ,Group Status Bit 507" "Group 0,Group 1" bitfld.long 0x00 26. " GSB506 ,Group Status Bit 506" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB505 ,Group Status Bit 505" "Group 0,Group 1" bitfld.long 0x00 24. " GSB504 ,Group Status Bit 504" "Group 0,Group 1" bitfld.long 0x00 23. " GSB503 ,Group Status Bit 503" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB502 ,Group Status Bit 502" "Group 0,Group 1" bitfld.long 0x00 21. " GSB501 ,Group Status Bit 501" "Group 0,Group 1" bitfld.long 0x00 20. " GSB500 ,Group Status Bit 500" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB499 ,Group Status Bit 499" "Group 0,Group 1" bitfld.long 0x00 18. " GSB498 ,Group Status Bit 498" "Group 0,Group 1" bitfld.long 0x00 17. " GSB497 ,Group Status Bit 497" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB496 ,Group Status Bit 496" "Group 0,Group 1" bitfld.long 0x00 15. " GSB495 ,Group Status Bit 495" "Group 0,Group 1" bitfld.long 0x00 14. " GSB494 ,Group Status Bit 494" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB493 ,Group Status Bit 493" "Group 0,Group 1" bitfld.long 0x00 12. " GSB492 ,Group Status Bit 492" "Group 0,Group 1" bitfld.long 0x00 11. " GSB491 ,Group Status Bit 491" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB490 ,Group Status Bit 490" "Group 0,Group 1" bitfld.long 0x00 9. " GSB489 ,Group Status Bit 489" "Group 0,Group 1" bitfld.long 0x00 8. " GSB488 ,Group Status Bit 488" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB487 ,Group Status Bit 487" "Group 0,Group 1" bitfld.long 0x00 6. " GSB486 ,Group Status Bit 486" "Group 0,Group 1" bitfld.long 0x00 5. " GSB485 ,Group Status Bit 485" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB484 ,Group Status Bit 484" "Group 0,Group 1" bitfld.long 0x00 3. " GSB483 ,Group Status Bit 483" "Group 0,Group 1" bitfld.long 0x00 2. " GSB482 ,Group Status Bit 482" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB481 ,Group Status Bit 481" "Group 0,Group 1" bitfld.long 0x00 0. " GSB480 ,Group Status Bit 480" "Group 0,Group 1" else rgroup.long 0x00BC++0x03 line.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00C0)) group.long 0x00C0++0x03 line.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16 (Secure access)" bitfld.long 0x00 31. " GSB543 ,Group Status Bit 543" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB542 ,Group Status Bit 542" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB541 ,Group Status Bit 541" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB540 ,Group Status Bit 540" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB539 ,Group Status Bit 539" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB538 ,Group Status Bit 538" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB537 ,Group Status Bit 537" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB536 ,Group Status Bit 536" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB535 ,Group Status Bit 535" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB534 ,Group Status Bit 534" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB533 ,Group Status Bit 533" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB532 ,Group Status Bit 532" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB531 ,Group Status Bit 531" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB530 ,Group Status Bit 530" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB529 ,Group Status Bit 529" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB528 ,Group Status Bit 528" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB527 ,Group Status Bit 527" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB526 ,Group Status Bit 526" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB525 ,Group Status Bit 525" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB524 ,Group Status Bit 524" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB523 ,Group Status Bit 523" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB522 ,Group Status Bit 522" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB521 ,Group Status Bit 521" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB520 ,Group Status Bit 520" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB519 ,Group Status Bit 519" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB518 ,Group Status Bit 518" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB517 ,Group Status Bit 517" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB516 ,Group Status Bit 516" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB515 ,Group Status Bit 515" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB514 ,Group Status Bit 514" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB513 ,Group Status Bit 513" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB512 ,Group Status Bit 512" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0x00C0++0x03 line.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16 (Non-secure access)" bitfld.long 0x00 31. " GSB543 ,Group Status Bit 543" "Group 0,Group 1" bitfld.long 0x00 30. " GSB542 ,Group Status Bit 542" "Group 0,Group 1" bitfld.long 0x00 29. " GSB541 ,Group Status Bit 541" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB540 ,Group Status Bit 540" "Group 0,Group 1" bitfld.long 0x00 27. " GSB539 ,Group Status Bit 539" "Group 0,Group 1" bitfld.long 0x00 26. " GSB538 ,Group Status Bit 538" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB537 ,Group Status Bit 537" "Group 0,Group 1" bitfld.long 0x00 24. " GSB536 ,Group Status Bit 536" "Group 0,Group 1" bitfld.long 0x00 23. " GSB535 ,Group Status Bit 535" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB534 ,Group Status Bit 534" "Group 0,Group 1" bitfld.long 0x00 21. " GSB533 ,Group Status Bit 533" "Group 0,Group 1" bitfld.long 0x00 20. " GSB532 ,Group Status Bit 532" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB531 ,Group Status Bit 531" "Group 0,Group 1" bitfld.long 0x00 18. " GSB530 ,Group Status Bit 530" "Group 0,Group 1" bitfld.long 0x00 17. " GSB529 ,Group Status Bit 529" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB528 ,Group Status Bit 528" "Group 0,Group 1" bitfld.long 0x00 15. " GSB527 ,Group Status Bit 527" "Group 0,Group 1" bitfld.long 0x00 14. " GSB526 ,Group Status Bit 526" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB525 ,Group Status Bit 525" "Group 0,Group 1" bitfld.long 0x00 12. " GSB524 ,Group Status Bit 524" "Group 0,Group 1" bitfld.long 0x00 11. " GSB523 ,Group Status Bit 523" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB522 ,Group Status Bit 522" "Group 0,Group 1" bitfld.long 0x00 9. " GSB521 ,Group Status Bit 521" "Group 0,Group 1" bitfld.long 0x00 8. " GSB520 ,Group Status Bit 520" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB519 ,Group Status Bit 519" "Group 0,Group 1" bitfld.long 0x00 6. " GSB518 ,Group Status Bit 518" "Group 0,Group 1" bitfld.long 0x00 5. " GSB517 ,Group Status Bit 517" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB516 ,Group Status Bit 516" "Group 0,Group 1" bitfld.long 0x00 3. " GSB515 ,Group Status Bit 515" "Group 0,Group 1" bitfld.long 0x00 2. " GSB514 ,Group Status Bit 514" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB513 ,Group Status Bit 513" "Group 0,Group 1" bitfld.long 0x00 0. " GSB512 ,Group Status Bit 512" "Group 0,Group 1" else rgroup.long 0x00C0++0x03 line.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00C4)) group.long 0x00C4++0x03 line.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17 (Secure access)" bitfld.long 0x00 31. " GSB575 ,Group Status Bit 575" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB574 ,Group Status Bit 574" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB573 ,Group Status Bit 573" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB572 ,Group Status Bit 572" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB571 ,Group Status Bit 571" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB570 ,Group Status Bit 570" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB569 ,Group Status Bit 569" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB568 ,Group Status Bit 568" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB567 ,Group Status Bit 567" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB566 ,Group Status Bit 566" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB565 ,Group Status Bit 565" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB564 ,Group Status Bit 564" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB563 ,Group Status Bit 563" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB562 ,Group Status Bit 562" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB561 ,Group Status Bit 561" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB560 ,Group Status Bit 560" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB559 ,Group Status Bit 559" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB558 ,Group Status Bit 558" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB557 ,Group Status Bit 557" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB556 ,Group Status Bit 556" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB555 ,Group Status Bit 555" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB554 ,Group Status Bit 554" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB553 ,Group Status Bit 553" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB552 ,Group Status Bit 552" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB551 ,Group Status Bit 551" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB550 ,Group Status Bit 550" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB549 ,Group Status Bit 549" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB548 ,Group Status Bit 548" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB547 ,Group Status Bit 547" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB546 ,Group Status Bit 546" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB545 ,Group Status Bit 545" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB544 ,Group Status Bit 544" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0x00C4++0x03 line.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17 (Non-secure access)" bitfld.long 0x00 31. " GSB575 ,Group Status Bit 575" "Group 0,Group 1" bitfld.long 0x00 30. " GSB574 ,Group Status Bit 574" "Group 0,Group 1" bitfld.long 0x00 29. " GSB573 ,Group Status Bit 573" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB572 ,Group Status Bit 572" "Group 0,Group 1" bitfld.long 0x00 27. " GSB571 ,Group Status Bit 571" "Group 0,Group 1" bitfld.long 0x00 26. " GSB570 ,Group Status Bit 570" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB569 ,Group Status Bit 569" "Group 0,Group 1" bitfld.long 0x00 24. " GSB568 ,Group Status Bit 568" "Group 0,Group 1" bitfld.long 0x00 23. " GSB567 ,Group Status Bit 567" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB566 ,Group Status Bit 566" "Group 0,Group 1" bitfld.long 0x00 21. " GSB565 ,Group Status Bit 565" "Group 0,Group 1" bitfld.long 0x00 20. " GSB564 ,Group Status Bit 564" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB563 ,Group Status Bit 563" "Group 0,Group 1" bitfld.long 0x00 18. " GSB562 ,Group Status Bit 562" "Group 0,Group 1" bitfld.long 0x00 17. " GSB561 ,Group Status Bit 561" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB560 ,Group Status Bit 560" "Group 0,Group 1" bitfld.long 0x00 15. " GSB559 ,Group Status Bit 559" "Group 0,Group 1" bitfld.long 0x00 14. " GSB558 ,Group Status Bit 558" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB557 ,Group Status Bit 557" "Group 0,Group 1" bitfld.long 0x00 12. " GSB556 ,Group Status Bit 556" "Group 0,Group 1" bitfld.long 0x00 11. " GSB555 ,Group Status Bit 555" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB554 ,Group Status Bit 554" "Group 0,Group 1" bitfld.long 0x00 9. " GSB553 ,Group Status Bit 553" "Group 0,Group 1" bitfld.long 0x00 8. " GSB552 ,Group Status Bit 552" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB551 ,Group Status Bit 551" "Group 0,Group 1" bitfld.long 0x00 6. " GSB550 ,Group Status Bit 550" "Group 0,Group 1" bitfld.long 0x00 5. " GSB549 ,Group Status Bit 549" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB548 ,Group Status Bit 548" "Group 0,Group 1" bitfld.long 0x00 3. " GSB547 ,Group Status Bit 547" "Group 0,Group 1" bitfld.long 0x00 2. " GSB546 ,Group Status Bit 546" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB545 ,Group Status Bit 545" "Group 0,Group 1" bitfld.long 0x00 0. " GSB544 ,Group Status Bit 544" "Group 0,Group 1" else rgroup.long 0x00C4++0x03 line.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00C8)) group.long 0x00C8++0x03 line.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18 (Secure access)" bitfld.long 0x00 31. " GSB607 ,Group Status Bit 607" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB606 ,Group Status Bit 606" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB605 ,Group Status Bit 605" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB604 ,Group Status Bit 604" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB603 ,Group Status Bit 603" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB602 ,Group Status Bit 602" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB601 ,Group Status Bit 601" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB600 ,Group Status Bit 600" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB599 ,Group Status Bit 599" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB598 ,Group Status Bit 598" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB597 ,Group Status Bit 597" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB596 ,Group Status Bit 596" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB595 ,Group Status Bit 595" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB594 ,Group Status Bit 594" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB593 ,Group Status Bit 593" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB592 ,Group Status Bit 592" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB591 ,Group Status Bit 591" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB590 ,Group Status Bit 590" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB589 ,Group Status Bit 589" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB588 ,Group Status Bit 588" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB587 ,Group Status Bit 587" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB586 ,Group Status Bit 586" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB585 ,Group Status Bit 585" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB584 ,Group Status Bit 584" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB583 ,Group Status Bit 583" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB582 ,Group Status Bit 582" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB581 ,Group Status Bit 581" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB580 ,Group Status Bit 580" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB579 ,Group Status Bit 579" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB578 ,Group Status Bit 578" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB577 ,Group Status Bit 577" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB576 ,Group Status Bit 576" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0x00C8++0x03 line.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18 (Non-secure access)" bitfld.long 0x00 31. " GSB607 ,Group Status Bit 607" "Group 0,Group 1" bitfld.long 0x00 30. " GSB606 ,Group Status Bit 606" "Group 0,Group 1" bitfld.long 0x00 29. " GSB605 ,Group Status Bit 605" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB604 ,Group Status Bit 604" "Group 0,Group 1" bitfld.long 0x00 27. " GSB603 ,Group Status Bit 603" "Group 0,Group 1" bitfld.long 0x00 26. " GSB602 ,Group Status Bit 602" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB601 ,Group Status Bit 601" "Group 0,Group 1" bitfld.long 0x00 24. " GSB600 ,Group Status Bit 600" "Group 0,Group 1" bitfld.long 0x00 23. " GSB599 ,Group Status Bit 599" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB598 ,Group Status Bit 598" "Group 0,Group 1" bitfld.long 0x00 21. " GSB597 ,Group Status Bit 597" "Group 0,Group 1" bitfld.long 0x00 20. " GSB596 ,Group Status Bit 596" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB595 ,Group Status Bit 595" "Group 0,Group 1" bitfld.long 0x00 18. " GSB594 ,Group Status Bit 594" "Group 0,Group 1" bitfld.long 0x00 17. " GSB593 ,Group Status Bit 593" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB592 ,Group Status Bit 592" "Group 0,Group 1" bitfld.long 0x00 15. " GSB591 ,Group Status Bit 591" "Group 0,Group 1" bitfld.long 0x00 14. " GSB590 ,Group Status Bit 590" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB589 ,Group Status Bit 589" "Group 0,Group 1" bitfld.long 0x00 12. " GSB588 ,Group Status Bit 588" "Group 0,Group 1" bitfld.long 0x00 11. " GSB587 ,Group Status Bit 587" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB586 ,Group Status Bit 586" "Group 0,Group 1" bitfld.long 0x00 9. " GSB585 ,Group Status Bit 585" "Group 0,Group 1" bitfld.long 0x00 8. " GSB584 ,Group Status Bit 584" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB583 ,Group Status Bit 583" "Group 0,Group 1" bitfld.long 0x00 6. " GSB582 ,Group Status Bit 582" "Group 0,Group 1" bitfld.long 0x00 5. " GSB581 ,Group Status Bit 581" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB580 ,Group Status Bit 580" "Group 0,Group 1" bitfld.long 0x00 3. " GSB579 ,Group Status Bit 579" "Group 0,Group 1" bitfld.long 0x00 2. " GSB578 ,Group Status Bit 578" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB577 ,Group Status Bit 577" "Group 0,Group 1" bitfld.long 0x00 0. " GSB576 ,Group Status Bit 576" "Group 0,Group 1" else rgroup.long 0x00C8++0x03 line.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00CC)) group.long 0x00CC++0x03 line.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19 (Secure access)" bitfld.long 0x00 31. " GSB639 ,Group Status Bit 639" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB638 ,Group Status Bit 638" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB637 ,Group Status Bit 637" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB636 ,Group Status Bit 636" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB635 ,Group Status Bit 635" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB634 ,Group Status Bit 634" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB633 ,Group Status Bit 633" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB632 ,Group Status Bit 632" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB631 ,Group Status Bit 631" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB630 ,Group Status Bit 630" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB629 ,Group Status Bit 629" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB628 ,Group Status Bit 628" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB627 ,Group Status Bit 627" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB626 ,Group Status Bit 626" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB625 ,Group Status Bit 625" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB624 ,Group Status Bit 624" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB623 ,Group Status Bit 623" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB622 ,Group Status Bit 622" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB621 ,Group Status Bit 621" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB620 ,Group Status Bit 620" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB619 ,Group Status Bit 619" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB618 ,Group Status Bit 618" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB617 ,Group Status Bit 617" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB616 ,Group Status Bit 616" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB615 ,Group Status Bit 615" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB614 ,Group Status Bit 614" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB613 ,Group Status Bit 613" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB612 ,Group Status Bit 612" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB611 ,Group Status Bit 611" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB610 ,Group Status Bit 610" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB609 ,Group Status Bit 609" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB608 ,Group Status Bit 608" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0x00CC++0x03 line.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19 (Non-secure access)" bitfld.long 0x00 31. " GSB639 ,Group Status Bit 639" "Group 0,Group 1" bitfld.long 0x00 30. " GSB638 ,Group Status Bit 638" "Group 0,Group 1" bitfld.long 0x00 29. " GSB637 ,Group Status Bit 637" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB636 ,Group Status Bit 636" "Group 0,Group 1" bitfld.long 0x00 27. " GSB635 ,Group Status Bit 635" "Group 0,Group 1" bitfld.long 0x00 26. " GSB634 ,Group Status Bit 634" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB633 ,Group Status Bit 633" "Group 0,Group 1" bitfld.long 0x00 24. " GSB632 ,Group Status Bit 632" "Group 0,Group 1" bitfld.long 0x00 23. " GSB631 ,Group Status Bit 631" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB630 ,Group Status Bit 630" "Group 0,Group 1" bitfld.long 0x00 21. " GSB629 ,Group Status Bit 629" "Group 0,Group 1" bitfld.long 0x00 20. " GSB628 ,Group Status Bit 628" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB627 ,Group Status Bit 627" "Group 0,Group 1" bitfld.long 0x00 18. " GSB626 ,Group Status Bit 626" "Group 0,Group 1" bitfld.long 0x00 17. " GSB625 ,Group Status Bit 625" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB624 ,Group Status Bit 624" "Group 0,Group 1" bitfld.long 0x00 15. " GSB623 ,Group Status Bit 623" "Group 0,Group 1" bitfld.long 0x00 14. " GSB622 ,Group Status Bit 622" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB621 ,Group Status Bit 621" "Group 0,Group 1" bitfld.long 0x00 12. " GSB620 ,Group Status Bit 620" "Group 0,Group 1" bitfld.long 0x00 11. " GSB619 ,Group Status Bit 619" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB618 ,Group Status Bit 618" "Group 0,Group 1" bitfld.long 0x00 9. " GSB617 ,Group Status Bit 617" "Group 0,Group 1" bitfld.long 0x00 8. " GSB616 ,Group Status Bit 616" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB615 ,Group Status Bit 615" "Group 0,Group 1" bitfld.long 0x00 6. " GSB614 ,Group Status Bit 614" "Group 0,Group 1" bitfld.long 0x00 5. " GSB613 ,Group Status Bit 613" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB612 ,Group Status Bit 612" "Group 0,Group 1" bitfld.long 0x00 3. " GSB611 ,Group Status Bit 611" "Group 0,Group 1" bitfld.long 0x00 2. " GSB610 ,Group Status Bit 610" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB609 ,Group Status Bit 609" "Group 0,Group 1" bitfld.long 0x00 0. " GSB608 ,Group Status Bit 608" "Group 0,Group 1" else rgroup.long 0x00CC++0x03 line.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00D0)) group.long 0x00D0++0x03 line.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20 (Secure access)" bitfld.long 0x00 31. " GSB671 ,Group Status Bit 671" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB670 ,Group Status Bit 670" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB669 ,Group Status Bit 669" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB668 ,Group Status Bit 668" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB667 ,Group Status Bit 667" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB666 ,Group Status Bit 666" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB665 ,Group Status Bit 665" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB664 ,Group Status Bit 664" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB663 ,Group Status Bit 663" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB662 ,Group Status Bit 662" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB661 ,Group Status Bit 661" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB660 ,Group Status Bit 660" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB659 ,Group Status Bit 659" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB658 ,Group Status Bit 658" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB657 ,Group Status Bit 657" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB656 ,Group Status Bit 656" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB655 ,Group Status Bit 655" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB654 ,Group Status Bit 654" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB653 ,Group Status Bit 653" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB652 ,Group Status Bit 652" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB651 ,Group Status Bit 651" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB650 ,Group Status Bit 650" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB649 ,Group Status Bit 649" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB648 ,Group Status Bit 648" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB647 ,Group Status Bit 647" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB646 ,Group Status Bit 646" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB645 ,Group Status Bit 645" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB644 ,Group Status Bit 644" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB643 ,Group Status Bit 643" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB642 ,Group Status Bit 642" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB641 ,Group Status Bit 641" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB640 ,Group Status Bit 640" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0x00D0++0x03 line.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20 (Non-secure access)" bitfld.long 0x00 31. " GSB671 ,Group Status Bit 671" "Group 0,Group 1" bitfld.long 0x00 30. " GSB670 ,Group Status Bit 670" "Group 0,Group 1" bitfld.long 0x00 29. " GSB669 ,Group Status Bit 669" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB668 ,Group Status Bit 668" "Group 0,Group 1" bitfld.long 0x00 27. " GSB667 ,Group Status Bit 667" "Group 0,Group 1" bitfld.long 0x00 26. " GSB666 ,Group Status Bit 666" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB665 ,Group Status Bit 665" "Group 0,Group 1" bitfld.long 0x00 24. " GSB664 ,Group Status Bit 664" "Group 0,Group 1" bitfld.long 0x00 23. " GSB663 ,Group Status Bit 663" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB662 ,Group Status Bit 662" "Group 0,Group 1" bitfld.long 0x00 21. " GSB661 ,Group Status Bit 661" "Group 0,Group 1" bitfld.long 0x00 20. " GSB660 ,Group Status Bit 660" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB659 ,Group Status Bit 659" "Group 0,Group 1" bitfld.long 0x00 18. " GSB658 ,Group Status Bit 658" "Group 0,Group 1" bitfld.long 0x00 17. " GSB657 ,Group Status Bit 657" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB656 ,Group Status Bit 656" "Group 0,Group 1" bitfld.long 0x00 15. " GSB655 ,Group Status Bit 655" "Group 0,Group 1" bitfld.long 0x00 14. " GSB654 ,Group Status Bit 654" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB653 ,Group Status Bit 653" "Group 0,Group 1" bitfld.long 0x00 12. " GSB652 ,Group Status Bit 652" "Group 0,Group 1" bitfld.long 0x00 11. " GSB651 ,Group Status Bit 651" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB650 ,Group Status Bit 650" "Group 0,Group 1" bitfld.long 0x00 9. " GSB649 ,Group Status Bit 649" "Group 0,Group 1" bitfld.long 0x00 8. " GSB648 ,Group Status Bit 648" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB647 ,Group Status Bit 647" "Group 0,Group 1" bitfld.long 0x00 6. " GSB646 ,Group Status Bit 646" "Group 0,Group 1" bitfld.long 0x00 5. " GSB645 ,Group Status Bit 645" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB644 ,Group Status Bit 644" "Group 0,Group 1" bitfld.long 0x00 3. " GSB643 ,Group Status Bit 643" "Group 0,Group 1" bitfld.long 0x00 2. " GSB642 ,Group Status Bit 642" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB641 ,Group Status Bit 641" "Group 0,Group 1" bitfld.long 0x00 0. " GSB640 ,Group Status Bit 640" "Group 0,Group 1" else rgroup.long 0x00D0++0x03 line.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00D4)) group.long 0x00D4++0x03 line.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21 (Secure access)" bitfld.long 0x00 31. " GSB703 ,Group Status Bit 703" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB702 ,Group Status Bit 702" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB701 ,Group Status Bit 701" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB700 ,Group Status Bit 700" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB699 ,Group Status Bit 699" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB698 ,Group Status Bit 698" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB697 ,Group Status Bit 697" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB696 ,Group Status Bit 696" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB695 ,Group Status Bit 695" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB694 ,Group Status Bit 694" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB693 ,Group Status Bit 693" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB692 ,Group Status Bit 692" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB691 ,Group Status Bit 691" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB690 ,Group Status Bit 690" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB689 ,Group Status Bit 689" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB688 ,Group Status Bit 688" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB687 ,Group Status Bit 687" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB686 ,Group Status Bit 686" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB685 ,Group Status Bit 685" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB684 ,Group Status Bit 684" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB683 ,Group Status Bit 683" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB682 ,Group Status Bit 682" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB681 ,Group Status Bit 681" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB680 ,Group Status Bit 680" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB679 ,Group Status Bit 679" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB678 ,Group Status Bit 678" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB677 ,Group Status Bit 677" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB676 ,Group Status Bit 676" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB675 ,Group Status Bit 675" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB674 ,Group Status Bit 674" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB673 ,Group Status Bit 673" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB672 ,Group Status Bit 672" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0x00D4++0x03 line.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21 (Non-secure access)" bitfld.long 0x00 31. " GSB703 ,Group Status Bit 703" "Group 0,Group 1" bitfld.long 0x00 30. " GSB702 ,Group Status Bit 702" "Group 0,Group 1" bitfld.long 0x00 29. " GSB701 ,Group Status Bit 701" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB700 ,Group Status Bit 700" "Group 0,Group 1" bitfld.long 0x00 27. " GSB699 ,Group Status Bit 699" "Group 0,Group 1" bitfld.long 0x00 26. " GSB698 ,Group Status Bit 698" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB697 ,Group Status Bit 697" "Group 0,Group 1" bitfld.long 0x00 24. " GSB696 ,Group Status Bit 696" "Group 0,Group 1" bitfld.long 0x00 23. " GSB695 ,Group Status Bit 695" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB694 ,Group Status Bit 694" "Group 0,Group 1" bitfld.long 0x00 21. " GSB693 ,Group Status Bit 693" "Group 0,Group 1" bitfld.long 0x00 20. " GSB692 ,Group Status Bit 692" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB691 ,Group Status Bit 691" "Group 0,Group 1" bitfld.long 0x00 18. " GSB690 ,Group Status Bit 690" "Group 0,Group 1" bitfld.long 0x00 17. " GSB689 ,Group Status Bit 689" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB688 ,Group Status Bit 688" "Group 0,Group 1" bitfld.long 0x00 15. " GSB687 ,Group Status Bit 687" "Group 0,Group 1" bitfld.long 0x00 14. " GSB686 ,Group Status Bit 686" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB685 ,Group Status Bit 685" "Group 0,Group 1" bitfld.long 0x00 12. " GSB684 ,Group Status Bit 684" "Group 0,Group 1" bitfld.long 0x00 11. " GSB683 ,Group Status Bit 683" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB682 ,Group Status Bit 682" "Group 0,Group 1" bitfld.long 0x00 9. " GSB681 ,Group Status Bit 681" "Group 0,Group 1" bitfld.long 0x00 8. " GSB680 ,Group Status Bit 680" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB679 ,Group Status Bit 679" "Group 0,Group 1" bitfld.long 0x00 6. " GSB678 ,Group Status Bit 678" "Group 0,Group 1" bitfld.long 0x00 5. " GSB677 ,Group Status Bit 677" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB676 ,Group Status Bit 676" "Group 0,Group 1" bitfld.long 0x00 3. " GSB675 ,Group Status Bit 675" "Group 0,Group 1" bitfld.long 0x00 2. " GSB674 ,Group Status Bit 674" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB673 ,Group Status Bit 673" "Group 0,Group 1" bitfld.long 0x00 0. " GSB672 ,Group Status Bit 672" "Group 0,Group 1" else rgroup.long 0x00D4++0x03 line.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00D8)) group.long 0x00D8++0x03 line.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22 (Secure access)" bitfld.long 0x00 31. " GSB735 ,Group Status Bit 735" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB734 ,Group Status Bit 734" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB733 ,Group Status Bit 733" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB732 ,Group Status Bit 732" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB731 ,Group Status Bit 731" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB730 ,Group Status Bit 730" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB729 ,Group Status Bit 729" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB728 ,Group Status Bit 728" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB727 ,Group Status Bit 727" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB726 ,Group Status Bit 726" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB725 ,Group Status Bit 725" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB724 ,Group Status Bit 724" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB723 ,Group Status Bit 723" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB722 ,Group Status Bit 722" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB721 ,Group Status Bit 721" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB720 ,Group Status Bit 720" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB719 ,Group Status Bit 719" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB718 ,Group Status Bit 718" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB717 ,Group Status Bit 717" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB716 ,Group Status Bit 716" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB715 ,Group Status Bit 715" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB714 ,Group Status Bit 714" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB713 ,Group Status Bit 713" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB712 ,Group Status Bit 712" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB711 ,Group Status Bit 711" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB710 ,Group Status Bit 710" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB709 ,Group Status Bit 709" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB708 ,Group Status Bit 708" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB707 ,Group Status Bit 707" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB706 ,Group Status Bit 706" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB705 ,Group Status Bit 705" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB704 ,Group Status Bit 704" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0x00D8++0x03 line.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22 (Non-secure access)" bitfld.long 0x00 31. " GSB735 ,Group Status Bit 735" "Group 0,Group 1" bitfld.long 0x00 30. " GSB734 ,Group Status Bit 734" "Group 0,Group 1" bitfld.long 0x00 29. " GSB733 ,Group Status Bit 733" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB732 ,Group Status Bit 732" "Group 0,Group 1" bitfld.long 0x00 27. " GSB731 ,Group Status Bit 731" "Group 0,Group 1" bitfld.long 0x00 26. " GSB730 ,Group Status Bit 730" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB729 ,Group Status Bit 729" "Group 0,Group 1" bitfld.long 0x00 24. " GSB728 ,Group Status Bit 728" "Group 0,Group 1" bitfld.long 0x00 23. " GSB727 ,Group Status Bit 727" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB726 ,Group Status Bit 726" "Group 0,Group 1" bitfld.long 0x00 21. " GSB725 ,Group Status Bit 725" "Group 0,Group 1" bitfld.long 0x00 20. " GSB724 ,Group Status Bit 724" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB723 ,Group Status Bit 723" "Group 0,Group 1" bitfld.long 0x00 18. " GSB722 ,Group Status Bit 722" "Group 0,Group 1" bitfld.long 0x00 17. " GSB721 ,Group Status Bit 721" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB720 ,Group Status Bit 720" "Group 0,Group 1" bitfld.long 0x00 15. " GSB719 ,Group Status Bit 719" "Group 0,Group 1" bitfld.long 0x00 14. " GSB718 ,Group Status Bit 718" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB717 ,Group Status Bit 717" "Group 0,Group 1" bitfld.long 0x00 12. " GSB716 ,Group Status Bit 716" "Group 0,Group 1" bitfld.long 0x00 11. " GSB715 ,Group Status Bit 715" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB714 ,Group Status Bit 714" "Group 0,Group 1" bitfld.long 0x00 9. " GSB713 ,Group Status Bit 713" "Group 0,Group 1" bitfld.long 0x00 8. " GSB712 ,Group Status Bit 712" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB711 ,Group Status Bit 711" "Group 0,Group 1" bitfld.long 0x00 6. " GSB710 ,Group Status Bit 710" "Group 0,Group 1" bitfld.long 0x00 5. " GSB709 ,Group Status Bit 709" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB708 ,Group Status Bit 708" "Group 0,Group 1" bitfld.long 0x00 3. " GSB707 ,Group Status Bit 707" "Group 0,Group 1" bitfld.long 0x00 2. " GSB706 ,Group Status Bit 706" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB705 ,Group Status Bit 705" "Group 0,Group 1" bitfld.long 0x00 0. " GSB704 ,Group Status Bit 704" "Group 0,Group 1" else rgroup.long 0x00D8++0x03 line.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00DC)) group.long 0x00DC++0x03 line.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23 (Secure access)" bitfld.long 0x00 31. " GSB767 ,Group Status Bit 767" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB766 ,Group Status Bit 766" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB765 ,Group Status Bit 765" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB764 ,Group Status Bit 764" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB763 ,Group Status Bit 763" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB762 ,Group Status Bit 762" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB761 ,Group Status Bit 761" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB760 ,Group Status Bit 760" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB759 ,Group Status Bit 759" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB758 ,Group Status Bit 758" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB757 ,Group Status Bit 757" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB756 ,Group Status Bit 756" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB755 ,Group Status Bit 755" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB754 ,Group Status Bit 754" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB753 ,Group Status Bit 753" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB752 ,Group Status Bit 752" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB751 ,Group Status Bit 751" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB750 ,Group Status Bit 750" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB749 ,Group Status Bit 749" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB748 ,Group Status Bit 748" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB747 ,Group Status Bit 747" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB746 ,Group Status Bit 746" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB745 ,Group Status Bit 745" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB744 ,Group Status Bit 744" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB743 ,Group Status Bit 743" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB742 ,Group Status Bit 742" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB741 ,Group Status Bit 741" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB740 ,Group Status Bit 740" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB739 ,Group Status Bit 739" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB738 ,Group Status Bit 738" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB737 ,Group Status Bit 737" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB736 ,Group Status Bit 736" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0x00DC++0x03 line.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23 (Non-secure access)" bitfld.long 0x00 31. " GSB767 ,Group Status Bit 767" "Group 0,Group 1" bitfld.long 0x00 30. " GSB766 ,Group Status Bit 766" "Group 0,Group 1" bitfld.long 0x00 29. " GSB765 ,Group Status Bit 765" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB764 ,Group Status Bit 764" "Group 0,Group 1" bitfld.long 0x00 27. " GSB763 ,Group Status Bit 763" "Group 0,Group 1" bitfld.long 0x00 26. " GSB762 ,Group Status Bit 762" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB761 ,Group Status Bit 761" "Group 0,Group 1" bitfld.long 0x00 24. " GSB760 ,Group Status Bit 760" "Group 0,Group 1" bitfld.long 0x00 23. " GSB759 ,Group Status Bit 759" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB758 ,Group Status Bit 758" "Group 0,Group 1" bitfld.long 0x00 21. " GSB757 ,Group Status Bit 757" "Group 0,Group 1" bitfld.long 0x00 20. " GSB756 ,Group Status Bit 756" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB755 ,Group Status Bit 755" "Group 0,Group 1" bitfld.long 0x00 18. " GSB754 ,Group Status Bit 754" "Group 0,Group 1" bitfld.long 0x00 17. " GSB753 ,Group Status Bit 753" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB752 ,Group Status Bit 752" "Group 0,Group 1" bitfld.long 0x00 15. " GSB751 ,Group Status Bit 751" "Group 0,Group 1" bitfld.long 0x00 14. " GSB750 ,Group Status Bit 750" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB749 ,Group Status Bit 749" "Group 0,Group 1" bitfld.long 0x00 12. " GSB748 ,Group Status Bit 748" "Group 0,Group 1" bitfld.long 0x00 11. " GSB747 ,Group Status Bit 747" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB746 ,Group Status Bit 746" "Group 0,Group 1" bitfld.long 0x00 9. " GSB745 ,Group Status Bit 745" "Group 0,Group 1" bitfld.long 0x00 8. " GSB744 ,Group Status Bit 744" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB743 ,Group Status Bit 743" "Group 0,Group 1" bitfld.long 0x00 6. " GSB742 ,Group Status Bit 742" "Group 0,Group 1" bitfld.long 0x00 5. " GSB741 ,Group Status Bit 741" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB740 ,Group Status Bit 740" "Group 0,Group 1" bitfld.long 0x00 3. " GSB739 ,Group Status Bit 739" "Group 0,Group 1" bitfld.long 0x00 2. " GSB738 ,Group Status Bit 738" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB737 ,Group Status Bit 737" "Group 0,Group 1" bitfld.long 0x00 0. " GSB736 ,Group Status Bit 736" "Group 0,Group 1" else rgroup.long 0x00DC++0x03 line.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00E0)) group.long 0x00E0++0x03 line.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24 (Secure access)" bitfld.long 0x00 31. " GSB799 ,Group Status Bit 799" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB798 ,Group Status Bit 798" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB797 ,Group Status Bit 797" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB796 ,Group Status Bit 796" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB795 ,Group Status Bit 795" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB794 ,Group Status Bit 794" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB793 ,Group Status Bit 793" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB792 ,Group Status Bit 792" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB791 ,Group Status Bit 791" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB790 ,Group Status Bit 790" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB789 ,Group Status Bit 789" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB788 ,Group Status Bit 788" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB787 ,Group Status Bit 787" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB786 ,Group Status Bit 786" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB785 ,Group Status Bit 785" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB784 ,Group Status Bit 784" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB783 ,Group Status Bit 783" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB782 ,Group Status Bit 782" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB781 ,Group Status Bit 781" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB780 ,Group Status Bit 780" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB779 ,Group Status Bit 779" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB778 ,Group Status Bit 778" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB777 ,Group Status Bit 777" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB776 ,Group Status Bit 776" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB775 ,Group Status Bit 775" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB774 ,Group Status Bit 774" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB773 ,Group Status Bit 773" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB772 ,Group Status Bit 772" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB771 ,Group Status Bit 771" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB770 ,Group Status Bit 770" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB769 ,Group Status Bit 769" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB768 ,Group Status Bit 768" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0x00E0++0x03 line.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24 (Non-secure access)" bitfld.long 0x00 31. " GSB799 ,Group Status Bit 799" "Group 0,Group 1" bitfld.long 0x00 30. " GSB798 ,Group Status Bit 798" "Group 0,Group 1" bitfld.long 0x00 29. " GSB797 ,Group Status Bit 797" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB796 ,Group Status Bit 796" "Group 0,Group 1" bitfld.long 0x00 27. " GSB795 ,Group Status Bit 795" "Group 0,Group 1" bitfld.long 0x00 26. " GSB794 ,Group Status Bit 794" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB793 ,Group Status Bit 793" "Group 0,Group 1" bitfld.long 0x00 24. " GSB792 ,Group Status Bit 792" "Group 0,Group 1" bitfld.long 0x00 23. " GSB791 ,Group Status Bit 791" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB790 ,Group Status Bit 790" "Group 0,Group 1" bitfld.long 0x00 21. " GSB789 ,Group Status Bit 789" "Group 0,Group 1" bitfld.long 0x00 20. " GSB788 ,Group Status Bit 788" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB787 ,Group Status Bit 787" "Group 0,Group 1" bitfld.long 0x00 18. " GSB786 ,Group Status Bit 786" "Group 0,Group 1" bitfld.long 0x00 17. " GSB785 ,Group Status Bit 785" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB784 ,Group Status Bit 784" "Group 0,Group 1" bitfld.long 0x00 15. " GSB783 ,Group Status Bit 783" "Group 0,Group 1" bitfld.long 0x00 14. " GSB782 ,Group Status Bit 782" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB781 ,Group Status Bit 781" "Group 0,Group 1" bitfld.long 0x00 12. " GSB780 ,Group Status Bit 780" "Group 0,Group 1" bitfld.long 0x00 11. " GSB779 ,Group Status Bit 779" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB778 ,Group Status Bit 778" "Group 0,Group 1" bitfld.long 0x00 9. " GSB777 ,Group Status Bit 777" "Group 0,Group 1" bitfld.long 0x00 8. " GSB776 ,Group Status Bit 776" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB775 ,Group Status Bit 775" "Group 0,Group 1" bitfld.long 0x00 6. " GSB774 ,Group Status Bit 774" "Group 0,Group 1" bitfld.long 0x00 5. " GSB773 ,Group Status Bit 773" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB772 ,Group Status Bit 772" "Group 0,Group 1" bitfld.long 0x00 3. " GSB771 ,Group Status Bit 771" "Group 0,Group 1" bitfld.long 0x00 2. " GSB770 ,Group Status Bit 770" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB769 ,Group Status Bit 769" "Group 0,Group 1" bitfld.long 0x00 0. " GSB768 ,Group Status Bit 768" "Group 0,Group 1" else rgroup.long 0x0E0++0x03 line.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00E4)) group.long 0x00E4++0x03 line.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25 (Secure access)" bitfld.long 0x00 31. " GSB831 ,Group Status Bit 831" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB830 ,Group Status Bit 830" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB829 ,Group Status Bit 829" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB828 ,Group Status Bit 828" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB827 ,Group Status Bit 827" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB826 ,Group Status Bit 826" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB825 ,Group Status Bit 825" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB824 ,Group Status Bit 824" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB823 ,Group Status Bit 823" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB822 ,Group Status Bit 822" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB821 ,Group Status Bit 821" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB820 ,Group Status Bit 820" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB819 ,Group Status Bit 819" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB818 ,Group Status Bit 818" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB817 ,Group Status Bit 817" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB816 ,Group Status Bit 816" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB815 ,Group Status Bit 815" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB814 ,Group Status Bit 814" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB813 ,Group Status Bit 813" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB812 ,Group Status Bit 812" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB811 ,Group Status Bit 811" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB810 ,Group Status Bit 810" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB809 ,Group Status Bit 809" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB808 ,Group Status Bit 808" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB807 ,Group Status Bit 807" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB806 ,Group Status Bit 806" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB805 ,Group Status Bit 805" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB804 ,Group Status Bit 804" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB803 ,Group Status Bit 803" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB802 ,Group Status Bit 802" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB801 ,Group Status Bit 801" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB800 ,Group Status Bit 800" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0x00E4++0x03 line.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25 (Non-secure access)" bitfld.long 0x00 31. " GSB831 ,Group Status Bit 831" "Group 0,Group 1" bitfld.long 0x00 30. " GSB830 ,Group Status Bit 830" "Group 0,Group 1" bitfld.long 0x00 29. " GSB829 ,Group Status Bit 829" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB828 ,Group Status Bit 828" "Group 0,Group 1" bitfld.long 0x00 27. " GSB827 ,Group Status Bit 827" "Group 0,Group 1" bitfld.long 0x00 26. " GSB826 ,Group Status Bit 826" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB825 ,Group Status Bit 825" "Group 0,Group 1" bitfld.long 0x00 24. " GSB824 ,Group Status Bit 824" "Group 0,Group 1" bitfld.long 0x00 23. " GSB823 ,Group Status Bit 823" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB822 ,Group Status Bit 822" "Group 0,Group 1" bitfld.long 0x00 21. " GSB821 ,Group Status Bit 821" "Group 0,Group 1" bitfld.long 0x00 20. " GSB820 ,Group Status Bit 820" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB819 ,Group Status Bit 819" "Group 0,Group 1" bitfld.long 0x00 18. " GSB818 ,Group Status Bit 818" "Group 0,Group 1" bitfld.long 0x00 17. " GSB817 ,Group Status Bit 817" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB816 ,Group Status Bit 816" "Group 0,Group 1" bitfld.long 0x00 15. " GSB815 ,Group Status Bit 815" "Group 0,Group 1" bitfld.long 0x00 14. " GSB814 ,Group Status Bit 814" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB813 ,Group Status Bit 813" "Group 0,Group 1" bitfld.long 0x00 12. " GSB812 ,Group Status Bit 812" "Group 0,Group 1" bitfld.long 0x00 11. " GSB811 ,Group Status Bit 811" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB810 ,Group Status Bit 810" "Group 0,Group 1" bitfld.long 0x00 9. " GSB809 ,Group Status Bit 809" "Group 0,Group 1" bitfld.long 0x00 8. " GSB808 ,Group Status Bit 808" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB807 ,Group Status Bit 807" "Group 0,Group 1" bitfld.long 0x00 6. " GSB806 ,Group Status Bit 806" "Group 0,Group 1" bitfld.long 0x00 5. " GSB805 ,Group Status Bit 805" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB804 ,Group Status Bit 804" "Group 0,Group 1" bitfld.long 0x00 3. " GSB803 ,Group Status Bit 803" "Group 0,Group 1" bitfld.long 0x00 2. " GSB802 ,Group Status Bit 802" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB801 ,Group Status Bit 801" "Group 0,Group 1" bitfld.long 0x00 0. " GSB800 ,Group Status Bit 800" "Group 0,Group 1" else rgroup.long 0x00E4++0x03 line.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00E8)) group.long 0x00E8++0x03 line.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26 (Secure access)" bitfld.long 0x00 31. " GSB863 ,Group Status Bit 863" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB862 ,Group Status Bit 862" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB861 ,Group Status Bit 861" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB860 ,Group Status Bit 860" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB859 ,Group Status Bit 859" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB858 ,Group Status Bit 858" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB857 ,Group Status Bit 857" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB856 ,Group Status Bit 856" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB855 ,Group Status Bit 855" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB854 ,Group Status Bit 854" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB853 ,Group Status Bit 853" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB852 ,Group Status Bit 852" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB851 ,Group Status Bit 851" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB850 ,Group Status Bit 850" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB849 ,Group Status Bit 849" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB848 ,Group Status Bit 848" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB847 ,Group Status Bit 847" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB846 ,Group Status Bit 846" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB845 ,Group Status Bit 845" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB844 ,Group Status Bit 844" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB843 ,Group Status Bit 843" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB842 ,Group Status Bit 842" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB841 ,Group Status Bit 841" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB840 ,Group Status Bit 840" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB839 ,Group Status Bit 839" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB838 ,Group Status Bit 838" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB837 ,Group Status Bit 837" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB836 ,Group Status Bit 836" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB835 ,Group Status Bit 835" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB834 ,Group Status Bit 834" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB833 ,Group Status Bit 833" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB832 ,Group Status Bit 832" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0x00E8++0x03 line.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26 (Non-secure access)" bitfld.long 0x00 31. " GSB863 ,Group Status Bit 863" "Group 0,Group 1" bitfld.long 0x00 30. " GSB862 ,Group Status Bit 862" "Group 0,Group 1" bitfld.long 0x00 29. " GSB861 ,Group Status Bit 861" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB860 ,Group Status Bit 860" "Group 0,Group 1" bitfld.long 0x00 27. " GSB859 ,Group Status Bit 859" "Group 0,Group 1" bitfld.long 0x00 26. " GSB858 ,Group Status Bit 858" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB857 ,Group Status Bit 857" "Group 0,Group 1" bitfld.long 0x00 24. " GSB856 ,Group Status Bit 856" "Group 0,Group 1" bitfld.long 0x00 23. " GSB855 ,Group Status Bit 855" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB854 ,Group Status Bit 854" "Group 0,Group 1" bitfld.long 0x00 21. " GSB853 ,Group Status Bit 853" "Group 0,Group 1" bitfld.long 0x00 20. " GSB852 ,Group Status Bit 852" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB851 ,Group Status Bit 851" "Group 0,Group 1" bitfld.long 0x00 18. " GSB850 ,Group Status Bit 850" "Group 0,Group 1" bitfld.long 0x00 17. " GSB849 ,Group Status Bit 849" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB848 ,Group Status Bit 848" "Group 0,Group 1" bitfld.long 0x00 15. " GSB847 ,Group Status Bit 847" "Group 0,Group 1" bitfld.long 0x00 14. " GSB846 ,Group Status Bit 846" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB845 ,Group Status Bit 845" "Group 0,Group 1" bitfld.long 0x00 12. " GSB844 ,Group Status Bit 844" "Group 0,Group 1" bitfld.long 0x00 11. " GSB843 ,Group Status Bit 843" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB842 ,Group Status Bit 842" "Group 0,Group 1" bitfld.long 0x00 9. " GSB841 ,Group Status Bit 841" "Group 0,Group 1" bitfld.long 0x00 8. " GSB840 ,Group Status Bit 840" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB839 ,Group Status Bit 839" "Group 0,Group 1" bitfld.long 0x00 6. " GSB838 ,Group Status Bit 838" "Group 0,Group 1" bitfld.long 0x00 5. " GSB837 ,Group Status Bit 837" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB836 ,Group Status Bit 836" "Group 0,Group 1" bitfld.long 0x00 3. " GSB835 ,Group Status Bit 835" "Group 0,Group 1" bitfld.long 0x00 2. " GSB834 ,Group Status Bit 834" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB833 ,Group Status Bit 833" "Group 0,Group 1" bitfld.long 0x00 0. " GSB832 ,Group Status Bit 832" "Group 0,Group 1" else rgroup.long 0x00E8++0x03 line.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00EC)) group.long 0x00EC++0x03 line.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27 (Secure access)" bitfld.long 0x00 31. " GSB895 ,Group Status Bit 895" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB894 ,Group Status Bit 894" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB893 ,Group Status Bit 893" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB892 ,Group Status Bit 892" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB891 ,Group Status Bit 891" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB890 ,Group Status Bit 890" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB889 ,Group Status Bit 889" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB888 ,Group Status Bit 888" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB887 ,Group Status Bit 887" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB886 ,Group Status Bit 886" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB885 ,Group Status Bit 885" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB884 ,Group Status Bit 884" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB883 ,Group Status Bit 883" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB882 ,Group Status Bit 882" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB881 ,Group Status Bit 881" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB880 ,Group Status Bit 880" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB879 ,Group Status Bit 879" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB878 ,Group Status Bit 878" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB877 ,Group Status Bit 877" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB876 ,Group Status Bit 876" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB875 ,Group Status Bit 875" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB874 ,Group Status Bit 874" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB873 ,Group Status Bit 873" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB872 ,Group Status Bit 872" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB871 ,Group Status Bit 871" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB870 ,Group Status Bit 870" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB869 ,Group Status Bit 869" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB868 ,Group Status Bit 868" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB867 ,Group Status Bit 867" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB866 ,Group Status Bit 866" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB865 ,Group Status Bit 865" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB864 ,Group Status Bit 864" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0x00EC++0x03 line.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27 (Non-Secure access)" bitfld.long 0x00 31. " GSB895 ,Group Status Bit 895" "Group 0,Group 1" bitfld.long 0x00 30. " GSB894 ,Group Status Bit 894" "Group 0,Group 1" bitfld.long 0x00 29. " GSB893 ,Group Status Bit 893" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB892 ,Group Status Bit 892" "Group 0,Group 1" bitfld.long 0x00 27. " GSB891 ,Group Status Bit 891" "Group 0,Group 1" bitfld.long 0x00 26. " GSB890 ,Group Status Bit 890" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB889 ,Group Status Bit 889" "Group 0,Group 1" bitfld.long 0x00 24. " GSB888 ,Group Status Bit 888" "Group 0,Group 1" bitfld.long 0x00 23. " GSB887 ,Group Status Bit 887" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB886 ,Group Status Bit 886" "Group 0,Group 1" bitfld.long 0x00 21. " GSB885 ,Group Status Bit 885" "Group 0,Group 1" bitfld.long 0x00 20. " GSB884 ,Group Status Bit 884" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB883 ,Group Status Bit 883" "Group 0,Group 1" bitfld.long 0x00 18. " GSB882 ,Group Status Bit 882" "Group 0,Group 1" bitfld.long 0x00 17. " GSB881 ,Group Status Bit 881" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB880 ,Group Status Bit 880" "Group 0,Group 1" bitfld.long 0x00 15. " GSB879 ,Group Status Bit 879" "Group 0,Group 1" bitfld.long 0x00 14. " GSB878 ,Group Status Bit 878" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB877 ,Group Status Bit 877" "Group 0,Group 1" bitfld.long 0x00 12. " GSB876 ,Group Status Bit 876" "Group 0,Group 1" bitfld.long 0x00 11. " GSB875 ,Group Status Bit 875" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB874 ,Group Status Bit 874" "Group 0,Group 1" bitfld.long 0x00 9. " GSB873 ,Group Status Bit 873" "Group 0,Group 1" bitfld.long 0x00 8. " GSB872 ,Group Status Bit 872" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB871 ,Group Status Bit 871" "Group 0,Group 1" bitfld.long 0x00 6. " GSB870 ,Group Status Bit 870" "Group 0,Group 1" bitfld.long 0x00 5. " GSB869 ,Group Status Bit 869" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB868 ,Group Status Bit 868" "Group 0,Group 1" bitfld.long 0x00 3. " GSB867 ,Group Status Bit 867" "Group 0,Group 1" bitfld.long 0x00 2. " GSB866 ,Group Status Bit 866" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB865 ,Group Status Bit 865" "Group 0,Group 1" bitfld.long 0x00 0. " GSB864 ,Group Status Bit 864" "Group 0,Group 1" else rgroup.long 0x00EC++0x03 line.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00F0)) group.long 0x00F0++0x03 line.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28 (Secure access)" bitfld.long 0x00 31. " GSB927 ,Group Status Bit 927" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB926 ,Group Status Bit 926" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB925 ,Group Status Bit 925" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB924 ,Group Status Bit 924" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB923 ,Group Status Bit 923" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB922 ,Group Status Bit 922" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB921 ,Group Status Bit 921" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB920 ,Group Status Bit 920" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB919 ,Group Status Bit 919" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB918 ,Group Status Bit 918" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB917 ,Group Status Bit 917" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB916 ,Group Status Bit 916" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB915 ,Group Status Bit 915" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB914 ,Group Status Bit 914" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB913 ,Group Status Bit 913" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB912 ,Group Status Bit 912" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB911 ,Group Status Bit 911" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB910 ,Group Status Bit 910" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB909 ,Group Status Bit 909" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB908 ,Group Status Bit 908" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB907 ,Group Status Bit 907" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB906 ,Group Status Bit 906" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB905 ,Group Status Bit 905" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB904 ,Group Status Bit 904" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB903 ,Group Status Bit 903" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB902 ,Group Status Bit 902" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB901 ,Group Status Bit 901" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB900 ,Group Status Bit 900" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB899 ,Group Status Bit 899" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB898 ,Group Status Bit 898" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB897 ,Group Status Bit 897" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB896 ,Group Status Bit 896" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0x00F0++0x03 line.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28 (Non-secure access)" bitfld.long 0x00 31. " GSB927 ,Group Status Bit 927" "Group 0,Group 1" bitfld.long 0x00 30. " GSB926 ,Group Status Bit 926" "Group 0,Group 1" bitfld.long 0x00 29. " GSB925 ,Group Status Bit 925" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB924 ,Group Status Bit 924" "Group 0,Group 1" bitfld.long 0x00 27. " GSB923 ,Group Status Bit 923" "Group 0,Group 1" bitfld.long 0x00 26. " GSB922 ,Group Status Bit 922" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB921 ,Group Status Bit 921" "Group 0,Group 1" bitfld.long 0x00 24. " GSB920 ,Group Status Bit 920" "Group 0,Group 1" bitfld.long 0x00 23. " GSB919 ,Group Status Bit 919" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB918 ,Group Status Bit 918" "Group 0,Group 1" bitfld.long 0x00 21. " GSB917 ,Group Status Bit 917" "Group 0,Group 1" bitfld.long 0x00 20. " GSB916 ,Group Status Bit 916" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB915 ,Group Status Bit 915" "Group 0,Group 1" bitfld.long 0x00 18. " GSB914 ,Group Status Bit 914" "Group 0,Group 1" bitfld.long 0x00 17. " GSB913 ,Group Status Bit 913" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB912 ,Group Status Bit 912" "Group 0,Group 1" bitfld.long 0x00 15. " GSB911 ,Group Status Bit 911" "Group 0,Group 1" bitfld.long 0x00 14. " GSB910 ,Group Status Bit 910" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB909 ,Group Status Bit 909" "Group 0,Group 1" bitfld.long 0x00 12. " GSB908 ,Group Status Bit 908" "Group 0,Group 1" bitfld.long 0x00 11. " GSB907 ,Group Status Bit 907" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB906 ,Group Status Bit 906" "Group 0,Group 1" bitfld.long 0x00 9. " GSB905 ,Group Status Bit 905" "Group 0,Group 1" bitfld.long 0x00 8. " GSB904 ,Group Status Bit 904" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB903 ,Group Status Bit 903" "Group 0,Group 1" bitfld.long 0x00 6. " GSB902 ,Group Status Bit 902" "Group 0,Group 1" bitfld.long 0x00 5. " GSB901 ,Group Status Bit 901" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB900 ,Group Status Bit 900" "Group 0,Group 1" bitfld.long 0x00 3. " GSB899 ,Group Status Bit 899" "Group 0,Group 1" bitfld.long 0x00 2. " GSB898 ,Group Status Bit 898" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB897 ,Group Status Bit 897" "Group 0,Group 1" bitfld.long 0x00 0. " GSB896 ,Group Status Bit 896" "Group 0,Group 1" else rgroup.long 0x0F0++0x03 line.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00F4)) group.long 0x00F4++0x03 line.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29 (Secure access)" bitfld.long 0x00 31. " GSB959 ,Group Status Bit 959" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB958 ,Group Status Bit 958" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB957 ,Group Status Bit 957" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB956 ,Group Status Bit 956" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB955 ,Group Status Bit 955" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB954 ,Group Status Bit 954" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB953 ,Group Status Bit 953" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB952 ,Group Status Bit 952" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB951 ,Group Status Bit 951" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB950 ,Group Status Bit 950" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB949 ,Group Status Bit 949" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB948 ,Group Status Bit 948" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB947 ,Group Status Bit 947" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB946 ,Group Status Bit 946" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB945 ,Group Status Bit 945" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB944 ,Group Status Bit 944" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB943 ,Group Status Bit 943" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB942 ,Group Status Bit 942" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB941 ,Group Status Bit 941" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB940 ,Group Status Bit 940" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB939 ,Group Status Bit 939" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB938 ,Group Status Bit 938" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB937 ,Group Status Bit 937" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB936 ,Group Status Bit 936" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB935 ,Group Status Bit 935" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB934 ,Group Status Bit 934" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB933 ,Group Status Bit 933" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB932 ,Group Status Bit 932" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB931 ,Group Status Bit 931" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB930 ,Group Status Bit 930" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB929 ,Group Status Bit 929" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB928 ,Group Status Bit 928" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0x00F4++0x03 line.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29 (Non-secure access)" bitfld.long 0x00 31. " GSB959 ,Group Status Bit 959" "Group 0,Group 1" bitfld.long 0x00 30. " GSB958 ,Group Status Bit 958" "Group 0,Group 1" bitfld.long 0x00 29. " GSB957 ,Group Status Bit 957" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB956 ,Group Status Bit 956" "Group 0,Group 1" bitfld.long 0x00 27. " GSB955 ,Group Status Bit 955" "Group 0,Group 1" bitfld.long 0x00 26. " GSB954 ,Group Status Bit 954" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB953 ,Group Status Bit 953" "Group 0,Group 1" bitfld.long 0x00 24. " GSB952 ,Group Status Bit 952" "Group 0,Group 1" bitfld.long 0x00 23. " GSB951 ,Group Status Bit 951" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB950 ,Group Status Bit 950" "Group 0,Group 1" bitfld.long 0x00 21. " GSB949 ,Group Status Bit 949" "Group 0,Group 1" bitfld.long 0x00 20. " GSB948 ,Group Status Bit 948" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB947 ,Group Status Bit 947" "Group 0,Group 1" bitfld.long 0x00 18. " GSB946 ,Group Status Bit 946" "Group 0,Group 1" bitfld.long 0x00 17. " GSB945 ,Group Status Bit 945" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB944 ,Group Status Bit 944" "Group 0,Group 1" bitfld.long 0x00 15. " GSB943 ,Group Status Bit 943" "Group 0,Group 1" bitfld.long 0x00 14. " GSB942 ,Group Status Bit 942" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB941 ,Group Status Bit 941" "Group 0,Group 1" bitfld.long 0x00 12. " GSB940 ,Group Status Bit 940" "Group 0,Group 1" bitfld.long 0x00 11. " GSB939 ,Group Status Bit 939" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB938 ,Group Status Bit 938" "Group 0,Group 1" bitfld.long 0x00 9. " GSB937 ,Group Status Bit 937" "Group 0,Group 1" bitfld.long 0x00 8. " GSB936 ,Group Status Bit 936" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB935 ,Group Status Bit 935" "Group 0,Group 1" bitfld.long 0x00 6. " GSB934 ,Group Status Bit 934" "Group 0,Group 1" bitfld.long 0x00 5. " GSB933 ,Group Status Bit 933" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB932 ,Group Status Bit 932" "Group 0,Group 1" bitfld.long 0x00 3. " GSB931 ,Group Status Bit 931" "Group 0,Group 1" bitfld.long 0x00 2. " GSB930 ,Group Status Bit 930" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB929 ,Group Status Bit 929" "Group 0,Group 1" bitfld.long 0x00 0. " GSB928 ,Group Status Bit 928" "Group 0,Group 1" else rgroup.long 0x00F4++0x03 line.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00F8)) group.long 0x00F8++0x03 line.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30 (Secure access)" bitfld.long 0x00 31. " GSB991 ,Group Status Bit 991" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 30. " GSB990 ,Group Status Bit 990" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 29. " GSB989 ,Group Status Bit 989" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 28. " GSB988 ,Group Status Bit 988" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 27. " GSB987 ,Group Status Bit 987" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB986 ,Group Status Bit 986" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB985 ,Group Status Bit 985" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB984 ,Group Status Bit 984" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB983 ,Group Status Bit 983" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB982 ,Group Status Bit 982" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB981 ,Group Status Bit 981" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB980 ,Group Status Bit 980" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB979 ,Group Status Bit 979" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB978 ,Group Status Bit 978" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB977 ,Group Status Bit 977" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB976 ,Group Status Bit 976" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB975 ,Group Status Bit 975" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB974 ,Group Status Bit 974" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB973 ,Group Status Bit 973" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB972 ,Group Status Bit 972" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB971 ,Group Status Bit 971" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB970 ,Group Status Bit 970" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB969 ,Group Status Bit 969" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB968 ,Group Status Bit 968" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB967 ,Group Status Bit 967" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB966 ,Group Status Bit 966" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB965 ,Group Status Bit 965" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB964 ,Group Status Bit 964" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB963 ,Group Status Bit 963" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB962 ,Group Status Bit 962" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB961 ,Group Status Bit 961" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB960 ,Group Status Bit 960" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0x00F8++0x03 line.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30 (Non-secure access)" bitfld.long 0x00 31. " GSB991 ,Group Status Bit 991" "Group 0,Group 1" bitfld.long 0x00 30. " GSB990 ,Group Status Bit 990" "Group 0,Group 1" bitfld.long 0x00 29. " GSB989 ,Group Status Bit 989" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB988 ,Group Status Bit 988" "Group 0,Group 1" bitfld.long 0x00 27. " GSB987 ,Group Status Bit 987" "Group 0,Group 1" bitfld.long 0x00 26. " GSB986 ,Group Status Bit 986" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB985 ,Group Status Bit 985" "Group 0,Group 1" bitfld.long 0x00 24. " GSB984 ,Group Status Bit 984" "Group 0,Group 1" bitfld.long 0x00 23. " GSB983 ,Group Status Bit 983" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB982 ,Group Status Bit 982" "Group 0,Group 1" bitfld.long 0x00 21. " GSB981 ,Group Status Bit 981" "Group 0,Group 1" bitfld.long 0x00 20. " GSB980 ,Group Status Bit 980" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB979 ,Group Status Bit 979" "Group 0,Group 1" bitfld.long 0x00 18. " GSB978 ,Group Status Bit 978" "Group 0,Group 1" bitfld.long 0x00 17. " GSB977 ,Group Status Bit 977" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB976 ,Group Status Bit 976" "Group 0,Group 1" bitfld.long 0x00 15. " GSB975 ,Group Status Bit 975" "Group 0,Group 1" bitfld.long 0x00 14. " GSB974 ,Group Status Bit 974" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB973 ,Group Status Bit 973" "Group 0,Group 1" bitfld.long 0x00 12. " GSB972 ,Group Status Bit 972" "Group 0,Group 1" bitfld.long 0x00 11. " GSB971 ,Group Status Bit 971" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB970 ,Group Status Bit 970" "Group 0,Group 1" bitfld.long 0x00 9. " GSB969 ,Group Status Bit 969" "Group 0,Group 1" bitfld.long 0x00 8. " GSB968 ,Group Status Bit 968" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB967 ,Group Status Bit 967" "Group 0,Group 1" bitfld.long 0x00 6. " GSB966 ,Group Status Bit 966" "Group 0,Group 1" bitfld.long 0x00 5. " GSB965 ,Group Status Bit 965" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB964 ,Group Status Bit 964" "Group 0,Group 1" bitfld.long 0x00 3. " GSB963 ,Group Status Bit 963" "Group 0,Group 1" bitfld.long 0x00 2. " GSB962 ,Group Status Bit 962" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB961 ,Group Status Bit 961" "Group 0,Group 1" bitfld.long 0x00 0. " GSB960 ,Group Status Bit 960" "Group 0,Group 1" else rgroup.long 0x00F8++0x03 line.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)==0x1F)&&(PER.ADDRESS.isSECUREEX(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x00FC)) group.long 0x00FC++0x03 line.long 0x0 "GICD_IGROUPR31,Interrupt Group Register 31 (Secure access)" bitfld.long 0x00 27. " GSB1019 ,Group Status Bit 1019" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 26. " GSB1018 ,Group Status Bit 1018" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 25. " GSB1017 ,Group Status Bit 1017" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 24. " GSB1016 ,Group Status Bit 1016" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 23. " GSB1015 ,Group Status Bit 1015" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 22. " GSB1014 ,Group Status Bit 1014" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 21. " GSB1013 ,Group Status Bit 1013" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 20. " GSB1012 ,Group Status Bit 1012" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 19. " GSB1011 ,Group Status Bit 1011" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 18. " GSB1010 ,Group Status Bit 1010" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 17. " GSB1009 ,Group Status Bit 1009" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 16. " GSB1008 ,Group Status Bit 1008" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 15. " GSB1007 ,Group Status Bit 1007" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 14. " GSB1006 ,Group Status Bit 1006" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 13. " GSB1005 ,Group Status Bit 1005" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 12. " GSB1004 ,Group Status Bit 1004" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 11. " GSB1003 ,Group Status Bit 1003" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 10. " GSB1002 ,Group Status Bit 1002" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 9. " GSB1001 ,Group Status Bit 1001" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 8. " GSB1000 ,Group Status Bit 1000" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 7. " GSB999 ,Group Status Bit 999" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 6. " GSB998 ,Group Status Bit 998" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 5. " GSB997 ,Group Status Bit 997" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 4. " GSB996 ,Group Status Bit 996" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 3. " GSB995 ,Group Status Bit 995" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 2. " GSB994 ,Group Status Bit 994" "Group 0 (Secure),Group 1 (Non-secure)" textline " " bitfld.long 0x00 1. " GSB993 ,Group Status Bit 993" "Group 0 (Secure),Group 1 (Non-secure)" bitfld.long 0x00 0. " GSB992 ,Group Status Bit 992" "Group 0 (Secure),Group 1 (Non-secure)" elif (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)==0x1F) group.long 0x00FC++0x03 line.long 0x0 "GICD_IGROUPR31,Interrupt Group Register 31 (Non-secure access)" bitfld.long 0x00 27. " GSB1019 ,Group Status Bit 1019" "Group 0,Group 1" bitfld.long 0x00 26. " GSB1018 ,Group Status Bit 1018" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB1017 ,Group Status Bit 1017" "Group 0,Group 1" bitfld.long 0x00 24. " GSB1016 ,Group Status Bit 1016" "Group 0,Group 1" bitfld.long 0x00 23. " GSB1015 ,Group Status Bit 1015" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB1014 ,Group Status Bit 1014" "Group 0,Group 1" bitfld.long 0x00 21. " GSB1013 ,Group Status Bit 1013" "Group 0,Group 1" bitfld.long 0x00 20. " GSB1012 ,Group Status Bit 1012" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB1011 ,Group Status Bit 1011" "Group 0,Group 1" bitfld.long 0x00 18. " GSB1010 ,Group Status Bit 1010" "Group 0,Group 1" bitfld.long 0x00 17. " GSB1009 ,Group Status Bit 1009" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB1008 ,Group Status Bit 1008" "Group 0,Group 1" bitfld.long 0x00 15. " GSB1007 ,Group Status Bit 1007" "Group 0,Group 1" bitfld.long 0x00 14. " GSB1006 ,Group Status Bit 1006" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB1005 ,Group Status Bit 1005" "Group 0,Group 1" bitfld.long 0x00 12. " GSB1004 ,Group Status Bit 1004" "Group 0,Group 1" bitfld.long 0x00 11. " GSB1003 ,Group Status Bit 1003" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB1002 ,Group Status Bit 1002" "Group 0,Group 1" bitfld.long 0x00 9. " GSB1001 ,Group Status Bit 1001" "Group 0,Group 1" bitfld.long 0x00 8. " GSB1000 ,Group Status Bit 1000" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB999 ,Group Status Bit 999" "Group 0,Group 1" bitfld.long 0x00 6. " GSB998 ,Group Status Bit 998" "Group 0,Group 1" bitfld.long 0x00 5. " GSB997 ,Group Status Bit 997" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB996 ,Group Status Bit 996" "Group 0,Group 1" bitfld.long 0x00 3. " GSB995 ,Group Status Bit 995" "Group 0,Group 1" bitfld.long 0x00 2. " GSB994 ,Group Status Bit 994" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB993 ,Group Status Bit 993" "Group 0,Group 1" bitfld.long 0x00 0. " GSB992 ,Group Status Bit 992" "Group 0,Group 1" else rgroup.long 0x00FC++0x03 line.long 0x0 "GICD_IGROUPR31,Interrupt Group Register 31" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif tree.end endif width 24. tree "Set/Clear Enable Registers" group.long 0x0100++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER0,Interrupt Set/Clear Enable Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB31 ,Set/Clear Enable Bit 31" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB30 ,Set/Clear Enable Bit 30" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB29 ,Set/Clear Enable Bit 29" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB28 ,Set/Clear Enable Bit 28" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB27 ,Set/Clear Enable Bit 27" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB26 ,Set/Clear Enable Bit 26" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB25 ,Set/Clear Enable Bit 25" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB24 ,Set/Clear Enable Bit 24" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB23 ,Set/Clear Enable Bit 23" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB22 ,Set/Clear Enable Bit 22" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB21 ,Set/Clear Enable Bit 21" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB20 ,Set/Clear Enable Bit 20" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB19 ,Set/Clear Enable Bit 19" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB18 ,Set/Clear Enable Bit 18" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB17 ,Set/Clear Enable Bit 17" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB16 ,Set/Clear Enable Bit 16" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB15 ,Set/Clear Enable Bit 15" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB14 ,Set/Clear Enable Bit 14" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB13 ,Set/Clear Enable Bit 13" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB12 ,Set/Clear Enable Bit 12" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB11 ,Set/Clear Enable Bit 11" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB10 ,Set/Clear Enable Bit 10" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB9 ,Set/Clear Enable Bit 9" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB8 ,Set/Clear Enable Bit 8" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB7 ,Set/Clear Enable Bit 7" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB6 ,Set/Clear Enable Bit 6" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB5 ,Set/Clear Enable Bit 5" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB4 ,Set/Clear Enable Bit 4" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB3 ,Set/Clear Enable Bit 3" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB2 ,Set/Clear Enable Bit 2" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB1 ,Set/Clear Enable Bit 1" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB0 ,Set/Clear Enable Bit 0" "Disabled,Enabled" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x0104++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER1,Interrupt Set/Clear Enable Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB63 ,Set/Clear Enable Bit 63" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB62 ,Set/Clear Enable Bit 62" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB61 ,Set/Clear Enable Bit 61" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB60 ,Set/Clear Enable Bit 60" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB59 ,Set/Clear Enable Bit 59" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB58 ,Set/Clear Enable Bit 58" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB57 ,Set/Clear Enable Bit 57" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB56 ,Set/Clear Enable Bit 56" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB55 ,Set/Clear Enable Bit 55" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB54 ,Set/Clear Enable Bit 54" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB53 ,Set/Clear Enable Bit 53" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB52 ,Set/Clear Enable Bit 52" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB51 ,Set/Clear Enable Bit 51" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB50 ,Set/Clear Enable Bit 50" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB49 ,Set/Clear Enable Bit 49" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB48 ,Set/Clear Enable Bit 48" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB47 ,Set/Clear Enable Bit 47" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB46 ,Set/Clear Enable Bit 46" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB45 ,Set/Clear Enable Bit 45" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB44 ,Set/Clear Enable Bit 44" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB43 ,Set/Clear Enable Bit 43" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB42 ,Set/Clear Enable Bit 42" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB41 ,Set/Clear Enable Bit 41" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB40 ,Set/Clear Enable Bit 40" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB39 ,Set/Clear Enable Bit 39" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB38 ,Set/Clear Enable Bit 38" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB37 ,Set/Clear Enable Bit 37" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB36 ,Set/Clear Enable Bit 36" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB35 ,Set/Clear Enable Bit 35" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB34 ,Set/Clear Enable Bit 34" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB33 ,Set/Clear Enable Bit 33" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB32 ,Set/Clear Enable Bit 32" "Disabled,Enabled" else rgroup.long 0x0104++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER1,Interrupt Set/Clear Enable Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x0108++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER2,Interrupt Set/Clear Enable Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB95 ,Set/Clear Enable Bit 95" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB94 ,Set/Clear Enable Bit 94" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB93 ,Set/Clear Enable Bit 93" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB92 ,Set/Clear Enable Bit 92" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB91 ,Set/Clear Enable Bit 91" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB90 ,Set/Clear Enable Bit 90" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB89 ,Set/Clear Enable Bit 89" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB88 ,Set/Clear Enable Bit 88" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB87 ,Set/Clear Enable Bit 87" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB86 ,Set/Clear Enable Bit 86" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB85 ,Set/Clear Enable Bit 85" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB84 ,Set/Clear Enable Bit 84" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB83 ,Set/Clear Enable Bit 83" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB82 ,Set/Clear Enable Bit 82" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB81 ,Set/Clear Enable Bit 81" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB80 ,Set/Clear Enable Bit 80" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB79 ,Set/Clear Enable Bit 79" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB78 ,Set/Clear Enable Bit 78" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB77 ,Set/Clear Enable Bit 77" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB76 ,Set/Clear Enable Bit 76" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB75 ,Set/Clear Enable Bit 75" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB74 ,Set/Clear Enable Bit 74" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB73 ,Set/Clear Enable Bit 73" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB72 ,Set/Clear Enable Bit 72" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB71 ,Set/Clear Enable Bit 71" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB70 ,Set/Clear Enable Bit 70" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB69 ,Set/Clear Enable Bit 69" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB68 ,Set/Clear Enable Bit 68" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB67 ,Set/Clear Enable Bit 67" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB66 ,Set/Clear Enable Bit 66" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB65 ,Set/Clear Enable Bit 65" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB64 ,Set/Clear Enable Bit 64" "Disabled,Enabled" else rgroup.long 0x0108++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER2,Interrupt Set/Clear Enable Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x010C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER3,Interrupt Set/Clear Enable Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB127 ,Set/Clear Enable Bit 127" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB126 ,Set/Clear Enable Bit 126" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB125 ,Set/Clear Enable Bit 125" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB124 ,Set/Clear Enable Bit 124" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB123 ,Set/Clear Enable Bit 123" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB122 ,Set/Clear Enable Bit 122" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB121 ,Set/Clear Enable Bit 121" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB120 ,Set/Clear Enable Bit 120" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB119 ,Set/Clear Enable Bit 119" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB118 ,Set/Clear Enable Bit 118" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB117 ,Set/Clear Enable Bit 117" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB116 ,Set/Clear Enable Bit 116" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB115 ,Set/Clear Enable Bit 115" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB114 ,Set/Clear Enable Bit 114" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB113 ,Set/Clear Enable Bit 113" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB112 ,Set/Clear Enable Bit 112" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB111 ,Set/Clear Enable Bit 111" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB110 ,Set/Clear Enable Bit 110" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB109 ,Set/Clear Enable Bit 109" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB108 ,Set/Clear Enable Bit 108" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB107 ,Set/Clear Enable Bit 107" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB106 ,Set/Clear Enable Bit 106" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB105 ,Set/Clear Enable Bit 105" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB104 ,Set/Clear Enable Bit 104" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB103 ,Set/Clear Enable Bit 103" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB102 ,Set/Clear Enable Bit 102" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB101 ,Set/Clear Enable Bit 101" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB100 ,Set/Clear Enable Bit 100" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB99 ,Set/Clear Enable Bit 99" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB98 ,Set/Clear Enable Bit 98" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB97 ,Set/Clear Enable Bit 97" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB96 ,Set/Clear Enable Bit 96" "Disabled,Enabled" else rgroup.long 0x010C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER3,Interrupt Set/Clear Enable Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x0110++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER4,Interrupt Set/Clear Enable Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB159 ,Set/Clear Enable Bit 159" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB158 ,Set/Clear Enable Bit 158" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB157 ,Set/Clear Enable Bit 157" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB156 ,Set/Clear Enable Bit 156" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB155 ,Set/Clear Enable Bit 155" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB154 ,Set/Clear Enable Bit 154" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB153 ,Set/Clear Enable Bit 153" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB152 ,Set/Clear Enable Bit 152" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB151 ,Set/Clear Enable Bit 151" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB150 ,Set/Clear Enable Bit 150" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB149 ,Set/Clear Enable Bit 149" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB148 ,Set/Clear Enable Bit 148" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB147 ,Set/Clear Enable Bit 147" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB146 ,Set/Clear Enable Bit 146" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB145 ,Set/Clear Enable Bit 145" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB144 ,Set/Clear Enable Bit 144" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB143 ,Set/Clear Enable Bit 143" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB142 ,Set/Clear Enable Bit 142" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB141 ,Set/Clear Enable Bit 141" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB140 ,Set/Clear Enable Bit 140" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB139 ,Set/Clear Enable Bit 139" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB138 ,Set/Clear Enable Bit 138" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB137 ,Set/Clear Enable Bit 137" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB136 ,Set/Clear Enable Bit 136" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB135 ,Set/Clear Enable Bit 135" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB134 ,Set/Clear Enable Bit 134" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB133 ,Set/Clear Enable Bit 133" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB132 ,Set/Clear Enable Bit 132" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB131 ,Set/Clear Enable Bit 131" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB130 ,Set/Clear Enable Bit 130" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB129 ,Set/Clear Enable Bit 129" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB128 ,Set/Clear Enable Bit 128" "Disabled,Enabled" else rgroup.long 0x0110++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER4,Interrupt Set/Clear Enable Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x0114++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER5,Interrupt Set/Clear Enable Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB191 ,Set/Clear Enable Bit 191" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB190 ,Set/Clear Enable Bit 190" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB189 ,Set/Clear Enable Bit 189" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB188 ,Set/Clear Enable Bit 188" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB187 ,Set/Clear Enable Bit 187" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB186 ,Set/Clear Enable Bit 186" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB185 ,Set/Clear Enable Bit 185" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB184 ,Set/Clear Enable Bit 184" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB183 ,Set/Clear Enable Bit 183" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB182 ,Set/Clear Enable Bit 182" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB181 ,Set/Clear Enable Bit 181" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB180 ,Set/Clear Enable Bit 180" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB179 ,Set/Clear Enable Bit 179" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB178 ,Set/Clear Enable Bit 178" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB177 ,Set/Clear Enable Bit 177" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB176 ,Set/Clear Enable Bit 176" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB175 ,Set/Clear Enable Bit 175" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB174 ,Set/Clear Enable Bit 174" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB173 ,Set/Clear Enable Bit 173" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB172 ,Set/Clear Enable Bit 172" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB171 ,Set/Clear Enable Bit 171" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB170 ,Set/Clear Enable Bit 170" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB169 ,Set/Clear Enable Bit 169" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB168 ,Set/Clear Enable Bit 168" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB167 ,Set/Clear Enable Bit 167" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB166 ,Set/Clear Enable Bit 166" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB165 ,Set/Clear Enable Bit 165" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB164 ,Set/Clear Enable Bit 164" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB163 ,Set/Clear Enable Bit 163" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB162 ,Set/Clear Enable Bit 162" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB161 ,Set/Clear Enable Bit 161" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB160 ,Set/Clear Enable Bit 160" "Disabled,Enabled" else rgroup.long 0x0114++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER5,Interrupt Set/Clear Enable Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x0118++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER6,Interrupt Set/Clear Enable Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB223 ,Set/Clear Enable Bit 223" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB222 ,Set/Clear Enable Bit 222" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB221 ,Set/Clear Enable Bit 221" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB220 ,Set/Clear Enable Bit 220" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB219 ,Set/Clear Enable Bit 219" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB218 ,Set/Clear Enable Bit 218" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB217 ,Set/Clear Enable Bit 217" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB216 ,Set/Clear Enable Bit 216" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB215 ,Set/Clear Enable Bit 215" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB214 ,Set/Clear Enable Bit 214" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB213 ,Set/Clear Enable Bit 213" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB212 ,Set/Clear Enable Bit 212" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB211 ,Set/Clear Enable Bit 211" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB210 ,Set/Clear Enable Bit 210" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB209 ,Set/Clear Enable Bit 209" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB208 ,Set/Clear Enable Bit 208" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB207 ,Set/Clear Enable Bit 207" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB206 ,Set/Clear Enable Bit 206" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB205 ,Set/Clear Enable Bit 205" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB204 ,Set/Clear Enable Bit 204" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB203 ,Set/Clear Enable Bit 203" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB202 ,Set/Clear Enable Bit 202" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB201 ,Set/Clear Enable Bit 201" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB200 ,Set/Clear Enable Bit 200" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB199 ,Set/Clear Enable Bit 199" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB198 ,Set/Clear Enable Bit 198" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB197 ,Set/Clear Enable Bit 197" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB196 ,Set/Clear Enable Bit 196" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB195 ,Set/Clear Enable Bit 195" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB194 ,Set/Clear Enable Bit 194" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB193 ,Set/Clear Enable Bit 193" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB192 ,Set/Clear Enable Bit 192" "Disabled,Enabled" else rgroup.long 0x0118++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER6,Interrupt Set/Clear Enable Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x011C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER7,Interrupt Set/Clear Enable Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB255 ,Set/Clear Enable Bit 255" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB254 ,Set/Clear Enable Bit 254" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB253 ,Set/Clear Enable Bit 253" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB252 ,Set/Clear Enable Bit 252" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB251 ,Set/Clear Enable Bit 251" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB250 ,Set/Clear Enable Bit 250" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB249 ,Set/Clear Enable Bit 249" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB248 ,Set/Clear Enable Bit 248" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB247 ,Set/Clear Enable Bit 247" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB246 ,Set/Clear Enable Bit 246" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB245 ,Set/Clear Enable Bit 245" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB244 ,Set/Clear Enable Bit 244" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB243 ,Set/Clear Enable Bit 243" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB242 ,Set/Clear Enable Bit 242" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB241 ,Set/Clear Enable Bit 241" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB240 ,Set/Clear Enable Bit 240" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB239 ,Set/Clear Enable Bit 239" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB238 ,Set/Clear Enable Bit 238" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB237 ,Set/Clear Enable Bit 237" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB236 ,Set/Clear Enable Bit 236" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB235 ,Set/Clear Enable Bit 235" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB234 ,Set/Clear Enable Bit 234" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB233 ,Set/Clear Enable Bit 233" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB232 ,Set/Clear Enable Bit 232" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB231 ,Set/Clear Enable Bit 231" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB230 ,Set/Clear Enable Bit 230" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB229 ,Set/Clear Enable Bit 229" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB228 ,Set/Clear Enable Bit 228" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB227 ,Set/Clear Enable Bit 227" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB226 ,Set/Clear Enable Bit 226" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB225 ,Set/Clear Enable Bit 225" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB224 ,Set/Clear Enable Bit 224" "Disabled,Enabled" else rgroup.long 0x011C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER7,Interrupt Set/Clear Enable Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x0120++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER8,Interrupt Set/Clear Enable Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB287 ,Set/Clear Enable Bit 287" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB286 ,Set/Clear Enable Bit 286" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB285 ,Set/Clear Enable Bit 285" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB284 ,Set/Clear Enable Bit 284" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB283 ,Set/Clear Enable Bit 283" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB282 ,Set/Clear Enable Bit 282" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB281 ,Set/Clear Enable Bit 281" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB280 ,Set/Clear Enable Bit 280" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB279 ,Set/Clear Enable Bit 279" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB278 ,Set/Clear Enable Bit 278" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB277 ,Set/Clear Enable Bit 277" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB276 ,Set/Clear Enable Bit 276" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB275 ,Set/Clear Enable Bit 275" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB274 ,Set/Clear Enable Bit 274" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB273 ,Set/Clear Enable Bit 273" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB272 ,Set/Clear Enable Bit 272" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB271 ,Set/Clear Enable Bit 271" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB270 ,Set/Clear Enable Bit 270" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB269 ,Set/Clear Enable Bit 269" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB268 ,Set/Clear Enable Bit 268" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB267 ,Set/Clear Enable Bit 267" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB266 ,Set/Clear Enable Bit 266" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB265 ,Set/Clear Enable Bit 265" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB264 ,Set/Clear Enable Bit 264" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB263 ,Set/Clear Enable Bit 263" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB262 ,Set/Clear Enable Bit 262" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB261 ,Set/Clear Enable Bit 261" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB260 ,Set/Clear Enable Bit 260" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB259 ,Set/Clear Enable Bit 259" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB258 ,Set/Clear Enable Bit 258" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB257 ,Set/Clear Enable Bit 257" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB256 ,Set/Clear Enable Bit 256" "Disabled,Enabled" else rgroup.long 0x0120++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER8,Interrupt Set/Clear Enable Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x0124++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER9,Interrupt Set/Clear Enable Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB319 ,Set/Clear Enable Bit 319" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB318 ,Set/Clear Enable Bit 318" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB317 ,Set/Clear Enable Bit 317" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB316 ,Set/Clear Enable Bit 316" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB315 ,Set/Clear Enable Bit 315" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB314 ,Set/Clear Enable Bit 314" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB313 ,Set/Clear Enable Bit 313" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB312 ,Set/Clear Enable Bit 312" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB311 ,Set/Clear Enable Bit 311" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB310 ,Set/Clear Enable Bit 310" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB309 ,Set/Clear Enable Bit 309" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB308 ,Set/Clear Enable Bit 308" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB307 ,Set/Clear Enable Bit 307" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB306 ,Set/Clear Enable Bit 306" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB305 ,Set/Clear Enable Bit 305" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB304 ,Set/Clear Enable Bit 304" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB303 ,Set/Clear Enable Bit 303" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB302 ,Set/Clear Enable Bit 302" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB301 ,Set/Clear Enable Bit 301" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB300 ,Set/Clear Enable Bit 300" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB299 ,Set/Clear Enable Bit 299" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB298 ,Set/Clear Enable Bit 298" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB297 ,Set/Clear Enable Bit 297" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB296 ,Set/Clear Enable Bit 296" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB295 ,Set/Clear Enable Bit 295" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB294 ,Set/Clear Enable Bit 294" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB293 ,Set/Clear Enable Bit 293" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB292 ,Set/Clear Enable Bit 292" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB291 ,Set/Clear Enable Bit 291" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB290 ,Set/Clear Enable Bit 290" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB289 ,Set/Clear Enable Bit 289" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB288 ,Set/Clear Enable Bit 288" "Disabled,Enabled" else rgroup.long 0x0124++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER9,Interrupt Set/Clear Enable Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x0128++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER10,Interrupt Set/Clear Enable Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB351 ,Set/Clear Enable Bit 351" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB350 ,Set/Clear Enable Bit 350" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB349 ,Set/Clear Enable Bit 349" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB348 ,Set/Clear Enable Bit 348" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB347 ,Set/Clear Enable Bit 347" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB346 ,Set/Clear Enable Bit 346" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB345 ,Set/Clear Enable Bit 345" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB344 ,Set/Clear Enable Bit 344" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB343 ,Set/Clear Enable Bit 343" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB342 ,Set/Clear Enable Bit 342" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB341 ,Set/Clear Enable Bit 341" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB340 ,Set/Clear Enable Bit 340" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB339 ,Set/Clear Enable Bit 339" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB338 ,Set/Clear Enable Bit 338" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB337 ,Set/Clear Enable Bit 337" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB336 ,Set/Clear Enable Bit 336" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB335 ,Set/Clear Enable Bit 335" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB334 ,Set/Clear Enable Bit 334" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB333 ,Set/Clear Enable Bit 333" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB332 ,Set/Clear Enable Bit 332" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB331 ,Set/Clear Enable Bit 331" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB330 ,Set/Clear Enable Bit 330" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB329 ,Set/Clear Enable Bit 329" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB328 ,Set/Clear Enable Bit 328" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB327 ,Set/Clear Enable Bit 327" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB326 ,Set/Clear Enable Bit 326" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB325 ,Set/Clear Enable Bit 325" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB324 ,Set/Clear Enable Bit 324" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB323 ,Set/Clear Enable Bit 323" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB322 ,Set/Clear Enable Bit 322" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB321 ,Set/Clear Enable Bit 321" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB320 ,Set/Clear Enable Bit 320" "Disabled,Enabled" else rgroup.long 0x0128++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER10,Interrupt Set/Clear Enable Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x012C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER11,Interrupt Set/Clear Enable Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB383 ,Set/Clear Enable Bit 383" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB382 ,Set/Clear Enable Bit 382" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB381 ,Set/Clear Enable Bit 381" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB380 ,Set/Clear Enable Bit 380" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB379 ,Set/Clear Enable Bit 379" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB378 ,Set/Clear Enable Bit 378" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB377 ,Set/Clear Enable Bit 377" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB376 ,Set/Clear Enable Bit 376" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB375 ,Set/Clear Enable Bit 375" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB374 ,Set/Clear Enable Bit 374" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB373 ,Set/Clear Enable Bit 373" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB372 ,Set/Clear Enable Bit 372" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB371 ,Set/Clear Enable Bit 371" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB370 ,Set/Clear Enable Bit 370" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB369 ,Set/Clear Enable Bit 369" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB368 ,Set/Clear Enable Bit 368" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB367 ,Set/Clear Enable Bit 367" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB366 ,Set/Clear Enable Bit 366" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB365 ,Set/Clear Enable Bit 365" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB364 ,Set/Clear Enable Bit 364" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB363 ,Set/Clear Enable Bit 363" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB362 ,Set/Clear Enable Bit 362" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB361 ,Set/Clear Enable Bit 361" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB360 ,Set/Clear Enable Bit 360" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB359 ,Set/Clear Enable Bit 359" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB358 ,Set/Clear Enable Bit 358" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB357 ,Set/Clear Enable Bit 357" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB356 ,Set/Clear Enable Bit 356" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB355 ,Set/Clear Enable Bit 355" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB354 ,Set/Clear Enable Bit 354" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB353 ,Set/Clear Enable Bit 353" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB352 ,Set/Clear Enable Bit 352" "Disabled,Enabled" else rgroup.long 0x012C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER11,Interrupt Set/Clear Enable Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x0130++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER12,Interrupt Set/Clear Enable Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB415 ,Set/Clear Enable Bit 415" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB414 ,Set/Clear Enable Bit 414" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB413 ,Set/Clear Enable Bit 413" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB412 ,Set/Clear Enable Bit 412" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB411 ,Set/Clear Enable Bit 411" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB410 ,Set/Clear Enable Bit 410" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB409 ,Set/Clear Enable Bit 409" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB408 ,Set/Clear Enable Bit 408" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB407 ,Set/Clear Enable Bit 407" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB406 ,Set/Clear Enable Bit 406" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB405 ,Set/Clear Enable Bit 405" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB404 ,Set/Clear Enable Bit 404" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB403 ,Set/Clear Enable Bit 403" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB402 ,Set/Clear Enable Bit 402" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB401 ,Set/Clear Enable Bit 401" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB400 ,Set/Clear Enable Bit 400" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB399 ,Set/Clear Enable Bit 399" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB398 ,Set/Clear Enable Bit 398" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB397 ,Set/Clear Enable Bit 397" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB396 ,Set/Clear Enable Bit 396" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB395 ,Set/Clear Enable Bit 395" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB394 ,Set/Clear Enable Bit 394" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB393 ,Set/Clear Enable Bit 393" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB392 ,Set/Clear Enable Bit 392" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB391 ,Set/Clear Enable Bit 391" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB390 ,Set/Clear Enable Bit 390" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB389 ,Set/Clear Enable Bit 389" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB388 ,Set/Clear Enable Bit 388" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB387 ,Set/Clear Enable Bit 387" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB386 ,Set/Clear Enable Bit 386" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB385 ,Set/Clear Enable Bit 385" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB384 ,Set/Clear Enable Bit 384" "Disabled,Enabled" else rgroup.long 0x0130++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER12,Interrupt Set/Clear Enable Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x0134++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER13,Interrupt Set/Clear Enable Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB447 ,Set/Clear Enable Bit 447" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB446 ,Set/Clear Enable Bit 446" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB445 ,Set/Clear Enable Bit 445" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB444 ,Set/Clear Enable Bit 444" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB443 ,Set/Clear Enable Bit 443" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB442 ,Set/Clear Enable Bit 442" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB441 ,Set/Clear Enable Bit 441" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB440 ,Set/Clear Enable Bit 440" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB439 ,Set/Clear Enable Bit 439" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB438 ,Set/Clear Enable Bit 438" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB437 ,Set/Clear Enable Bit 437" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB436 ,Set/Clear Enable Bit 436" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB435 ,Set/Clear Enable Bit 435" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB434 ,Set/Clear Enable Bit 434" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB433 ,Set/Clear Enable Bit 433" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB432 ,Set/Clear Enable Bit 432" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB431 ,Set/Clear Enable Bit 431" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB430 ,Set/Clear Enable Bit 430" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB429 ,Set/Clear Enable Bit 429" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB428 ,Set/Clear Enable Bit 428" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB427 ,Set/Clear Enable Bit 427" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB426 ,Set/Clear Enable Bit 426" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB425 ,Set/Clear Enable Bit 425" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB424 ,Set/Clear Enable Bit 424" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB423 ,Set/Clear Enable Bit 423" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB422 ,Set/Clear Enable Bit 422" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB421 ,Set/Clear Enable Bit 421" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB420 ,Set/Clear Enable Bit 420" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB419 ,Set/Clear Enable Bit 419" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB418 ,Set/Clear Enable Bit 418" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB417 ,Set/Clear Enable Bit 417" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB416 ,Set/Clear Enable Bit 416" "Disabled,Enabled" else rgroup.long 0x0134++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER13,Interrupt Set/Clear Enable Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x0138++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER14,Interrupt Set/Clear Enable Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB479 ,Set/Clear Enable Bit 479" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB478 ,Set/Clear Enable Bit 478" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB477 ,Set/Clear Enable Bit 477" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB476 ,Set/Clear Enable Bit 476" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB475 ,Set/Clear Enable Bit 475" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB474 ,Set/Clear Enable Bit 474" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB473 ,Set/Clear Enable Bit 473" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB472 ,Set/Clear Enable Bit 472" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB471 ,Set/Clear Enable Bit 471" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB470 ,Set/Clear Enable Bit 470" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB469 ,Set/Clear Enable Bit 469" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB468 ,Set/Clear Enable Bit 468" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB467 ,Set/Clear Enable Bit 467" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB466 ,Set/Clear Enable Bit 466" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB465 ,Set/Clear Enable Bit 465" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB464 ,Set/Clear Enable Bit 464" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB463 ,Set/Clear Enable Bit 463" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB462 ,Set/Clear Enable Bit 462" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB461 ,Set/Clear Enable Bit 461" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB460 ,Set/Clear Enable Bit 460" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB459 ,Set/Clear Enable Bit 459" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB458 ,Set/Clear Enable Bit 458" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB457 ,Set/Clear Enable Bit 457" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB456 ,Set/Clear Enable Bit 456" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB455 ,Set/Clear Enable Bit 455" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB454 ,Set/Clear Enable Bit 454" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB453 ,Set/Clear Enable Bit 453" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB452 ,Set/Clear Enable Bit 452" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB451 ,Set/Clear Enable Bit 451" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB450 ,Set/Clear Enable Bit 450" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB449 ,Set/Clear Enable Bit 449" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB448 ,Set/Clear Enable Bit 448" "Disabled,Enabled" else rgroup.long 0x0138++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER14,Interrupt Set/Clear Enable Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x013C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER15,Interrupt Set/Clear Enable Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB511 ,Set/Clear Enable Bit 511" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB510 ,Set/Clear Enable Bit 510" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB509 ,Set/Clear Enable Bit 509" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB508 ,Set/Clear Enable Bit 508" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB507 ,Set/Clear Enable Bit 507" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB506 ,Set/Clear Enable Bit 506" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB505 ,Set/Clear Enable Bit 505" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB504 ,Set/Clear Enable Bit 504" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB503 ,Set/Clear Enable Bit 503" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB502 ,Set/Clear Enable Bit 502" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB501 ,Set/Clear Enable Bit 501" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB500 ,Set/Clear Enable Bit 500" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB499 ,Set/Clear Enable Bit 499" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB498 ,Set/Clear Enable Bit 498" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB497 ,Set/Clear Enable Bit 497" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB496 ,Set/Clear Enable Bit 496" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB495 ,Set/Clear Enable Bit 495" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB494 ,Set/Clear Enable Bit 494" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB493 ,Set/Clear Enable Bit 493" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB492 ,Set/Clear Enable Bit 492" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB491 ,Set/Clear Enable Bit 491" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB490 ,Set/Clear Enable Bit 490" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB489 ,Set/Clear Enable Bit 489" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB488 ,Set/Clear Enable Bit 488" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB487 ,Set/Clear Enable Bit 487" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB486 ,Set/Clear Enable Bit 486" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB485 ,Set/Clear Enable Bit 485" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB484 ,Set/Clear Enable Bit 484" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB483 ,Set/Clear Enable Bit 483" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB482 ,Set/Clear Enable Bit 482" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB481 ,Set/Clear Enable Bit 481" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB480 ,Set/Clear Enable Bit 480" "Disabled,Enabled" else rgroup.long 0x013C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER15,Interrupt Set/Clear Enable Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0x0140++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER16,Interrupt Set/Clear Enable Register 16" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB543 ,Set/Clear Enable Bit 543" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB542 ,Set/Clear Enable Bit 542" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB541 ,Set/Clear Enable Bit 541" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB540 ,Set/Clear Enable Bit 540" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB539 ,Set/Clear Enable Bit 539" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB538 ,Set/Clear Enable Bit 538" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB537 ,Set/Clear Enable Bit 537" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB536 ,Set/Clear Enable Bit 536" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB535 ,Set/Clear Enable Bit 535" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB534 ,Set/Clear Enable Bit 534" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB533 ,Set/Clear Enable Bit 533" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB532 ,Set/Clear Enable Bit 532" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB531 ,Set/Clear Enable Bit 531" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB530 ,Set/Clear Enable Bit 530" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB529 ,Set/Clear Enable Bit 529" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB528 ,Set/Clear Enable Bit 528" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB527 ,Set/Clear Enable Bit 527" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB526 ,Set/Clear Enable Bit 526" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB525 ,Set/Clear Enable Bit 525" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB524 ,Set/Clear Enable Bit 524" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB523 ,Set/Clear Enable Bit 523" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB522 ,Set/Clear Enable Bit 522" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB521 ,Set/Clear Enable Bit 521" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB520 ,Set/Clear Enable Bit 520" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB519 ,Set/Clear Enable Bit 519" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB518 ,Set/Clear Enable Bit 518" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB517 ,Set/Clear Enable Bit 517" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB516 ,Set/Clear Enable Bit 516" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB515 ,Set/Clear Enable Bit 515" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB514 ,Set/Clear Enable Bit 514" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB513 ,Set/Clear Enable Bit 513" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB512 ,Set/Clear Enable Bit 512" "Disabled,Enabled" else rgroup.long 0x0140++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER16,Interrupt Set/Clear Enable Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0x0144++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER17,Interrupt Set/Clear Enable Register 17" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB575 ,Set/Clear Enable Bit 575" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB574 ,Set/Clear Enable Bit 574" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB573 ,Set/Clear Enable Bit 573" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB572 ,Set/Clear Enable Bit 572" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB571 ,Set/Clear Enable Bit 571" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB570 ,Set/Clear Enable Bit 570" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB569 ,Set/Clear Enable Bit 569" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB568 ,Set/Clear Enable Bit 568" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB567 ,Set/Clear Enable Bit 567" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB566 ,Set/Clear Enable Bit 566" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB565 ,Set/Clear Enable Bit 565" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB564 ,Set/Clear Enable Bit 564" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB563 ,Set/Clear Enable Bit 563" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB562 ,Set/Clear Enable Bit 562" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB561 ,Set/Clear Enable Bit 561" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB560 ,Set/Clear Enable Bit 560" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB559 ,Set/Clear Enable Bit 559" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB558 ,Set/Clear Enable Bit 558" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB557 ,Set/Clear Enable Bit 557" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB556 ,Set/Clear Enable Bit 556" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB555 ,Set/Clear Enable Bit 555" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB554 ,Set/Clear Enable Bit 554" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB553 ,Set/Clear Enable Bit 553" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB552 ,Set/Clear Enable Bit 552" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB551 ,Set/Clear Enable Bit 551" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB550 ,Set/Clear Enable Bit 550" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB549 ,Set/Clear Enable Bit 549" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB548 ,Set/Clear Enable Bit 548" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB547 ,Set/Clear Enable Bit 547" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB546 ,Set/Clear Enable Bit 546" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB545 ,Set/Clear Enable Bit 545" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB544 ,Set/Clear Enable Bit 544" "Disabled,Enabled" else rgroup.long 0x0144++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER17,Interrupt Set/Clear Enable Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0x0148++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER18,Interrupt Set/Clear Enable Register 18" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB607 ,Set/Clear Enable Bit 607" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB606 ,Set/Clear Enable Bit 606" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB605 ,Set/Clear Enable Bit 605" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB604 ,Set/Clear Enable Bit 604" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB603 ,Set/Clear Enable Bit 603" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB602 ,Set/Clear Enable Bit 602" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB601 ,Set/Clear Enable Bit 601" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB600 ,Set/Clear Enable Bit 600" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB599 ,Set/Clear Enable Bit 599" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB598 ,Set/Clear Enable Bit 598" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB597 ,Set/Clear Enable Bit 597" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB596 ,Set/Clear Enable Bit 596" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB595 ,Set/Clear Enable Bit 595" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB594 ,Set/Clear Enable Bit 594" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB593 ,Set/Clear Enable Bit 593" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB592 ,Set/Clear Enable Bit 592" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB591 ,Set/Clear Enable Bit 591" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB590 ,Set/Clear Enable Bit 590" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB589 ,Set/Clear Enable Bit 589" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB588 ,Set/Clear Enable Bit 588" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB587 ,Set/Clear Enable Bit 587" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB586 ,Set/Clear Enable Bit 586" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB585 ,Set/Clear Enable Bit 585" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB584 ,Set/Clear Enable Bit 584" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB583 ,Set/Clear Enable Bit 583" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB582 ,Set/Clear Enable Bit 582" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB581 ,Set/Clear Enable Bit 581" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB580 ,Set/Clear Enable Bit 580" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB579 ,Set/Clear Enable Bit 579" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB578 ,Set/Clear Enable Bit 578" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB577 ,Set/Clear Enable Bit 577" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB576 ,Set/Clear Enable Bit 576" "Disabled,Enabled" else rgroup.long 0x0148++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER18,Interrupt Set/Clear Enable Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0x014C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER19,Interrupt Set/Clear Enable Register 19" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB639 ,Set/Clear Enable Bit 639" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB638 ,Set/Clear Enable Bit 638" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB637 ,Set/Clear Enable Bit 637" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB636 ,Set/Clear Enable Bit 636" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB635 ,Set/Clear Enable Bit 635" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB634 ,Set/Clear Enable Bit 634" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB633 ,Set/Clear Enable Bit 633" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB632 ,Set/Clear Enable Bit 632" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB631 ,Set/Clear Enable Bit 631" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB630 ,Set/Clear Enable Bit 630" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB629 ,Set/Clear Enable Bit 629" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB628 ,Set/Clear Enable Bit 628" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB627 ,Set/Clear Enable Bit 627" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB626 ,Set/Clear Enable Bit 626" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB625 ,Set/Clear Enable Bit 625" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB624 ,Set/Clear Enable Bit 624" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB623 ,Set/Clear Enable Bit 623" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB622 ,Set/Clear Enable Bit 622" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB621 ,Set/Clear Enable Bit 621" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB620 ,Set/Clear Enable Bit 620" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB619 ,Set/Clear Enable Bit 619" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB618 ,Set/Clear Enable Bit 618" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB617 ,Set/Clear Enable Bit 617" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB616 ,Set/Clear Enable Bit 616" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB615 ,Set/Clear Enable Bit 615" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB614 ,Set/Clear Enable Bit 614" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB613 ,Set/Clear Enable Bit 613" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB612 ,Set/Clear Enable Bit 612" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB611 ,Set/Clear Enable Bit 611" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB610 ,Set/Clear Enable Bit 610" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB609 ,Set/Clear Enable Bit 609" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB608 ,Set/Clear Enable Bit 608" "Disabled,Enabled" else rgroup.long 0x014C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER19,Interrupt Set/Clear Enable Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0x0150++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER20,Interrupt Set/Clear Enable Register 20" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB671 ,Set/Clear Enable Bit 671" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB670 ,Set/Clear Enable Bit 670" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB669 ,Set/Clear Enable Bit 669" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB668 ,Set/Clear Enable Bit 668" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB667 ,Set/Clear Enable Bit 667" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB666 ,Set/Clear Enable Bit 666" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB665 ,Set/Clear Enable Bit 665" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB664 ,Set/Clear Enable Bit 664" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB663 ,Set/Clear Enable Bit 663" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB662 ,Set/Clear Enable Bit 662" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB661 ,Set/Clear Enable Bit 661" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB660 ,Set/Clear Enable Bit 660" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB659 ,Set/Clear Enable Bit 659" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB658 ,Set/Clear Enable Bit 658" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB657 ,Set/Clear Enable Bit 657" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB656 ,Set/Clear Enable Bit 656" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB655 ,Set/Clear Enable Bit 655" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB654 ,Set/Clear Enable Bit 654" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB653 ,Set/Clear Enable Bit 653" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB652 ,Set/Clear Enable Bit 652" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB651 ,Set/Clear Enable Bit 651" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB650 ,Set/Clear Enable Bit 650" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB649 ,Set/Clear Enable Bit 649" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB648 ,Set/Clear Enable Bit 648" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB647 ,Set/Clear Enable Bit 647" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB646 ,Set/Clear Enable Bit 646" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB645 ,Set/Clear Enable Bit 645" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB644 ,Set/Clear Enable Bit 644" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB643 ,Set/Clear Enable Bit 643" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB642 ,Set/Clear Enable Bit 642" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB641 ,Set/Clear Enable Bit 641" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB640 ,Set/Clear Enable Bit 640" "Disabled,Enabled" else rgroup.long 0x0150++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER20,Interrupt Set/Clear Enable Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0x0154++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER21,Interrupt Set/Clear Enable Register 21" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB703 ,Set/Clear Enable Bit 703" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB702 ,Set/Clear Enable Bit 702" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB701 ,Set/Clear Enable Bit 701" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB700 ,Set/Clear Enable Bit 700" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB699 ,Set/Clear Enable Bit 699" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB698 ,Set/Clear Enable Bit 698" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB697 ,Set/Clear Enable Bit 697" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB696 ,Set/Clear Enable Bit 696" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB695 ,Set/Clear Enable Bit 695" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB694 ,Set/Clear Enable Bit 694" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB693 ,Set/Clear Enable Bit 693" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB692 ,Set/Clear Enable Bit 692" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB691 ,Set/Clear Enable Bit 691" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB690 ,Set/Clear Enable Bit 690" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB689 ,Set/Clear Enable Bit 689" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB688 ,Set/Clear Enable Bit 688" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB687 ,Set/Clear Enable Bit 687" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB686 ,Set/Clear Enable Bit 686" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB685 ,Set/Clear Enable Bit 685" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB684 ,Set/Clear Enable Bit 684" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB683 ,Set/Clear Enable Bit 683" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB682 ,Set/Clear Enable Bit 682" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB681 ,Set/Clear Enable Bit 681" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB680 ,Set/Clear Enable Bit 680" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB679 ,Set/Clear Enable Bit 679" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB678 ,Set/Clear Enable Bit 678" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB677 ,Set/Clear Enable Bit 677" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB676 ,Set/Clear Enable Bit 676" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB675 ,Set/Clear Enable Bit 675" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB674 ,Set/Clear Enable Bit 674" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB673 ,Set/Clear Enable Bit 673" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB672 ,Set/Clear Enable Bit 672" "Disabled,Enabled" else rgroup.long 0x0154++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER21,Interrupt Set/Clear Enable Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0x0158++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER22,Interrupt Set/Clear Enable Register 22" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB735 ,Set/Clear Enable Bit 735" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB734 ,Set/Clear Enable Bit 734" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB733 ,Set/Clear Enable Bit 733" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB732 ,Set/Clear Enable Bit 732" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB731 ,Set/Clear Enable Bit 731" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB730 ,Set/Clear Enable Bit 730" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB729 ,Set/Clear Enable Bit 729" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB728 ,Set/Clear Enable Bit 728" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB727 ,Set/Clear Enable Bit 727" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB726 ,Set/Clear Enable Bit 726" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB725 ,Set/Clear Enable Bit 725" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB724 ,Set/Clear Enable Bit 724" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB723 ,Set/Clear Enable Bit 723" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB722 ,Set/Clear Enable Bit 722" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB721 ,Set/Clear Enable Bit 721" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB720 ,Set/Clear Enable Bit 720" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB719 ,Set/Clear Enable Bit 719" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB718 ,Set/Clear Enable Bit 718" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB717 ,Set/Clear Enable Bit 717" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB716 ,Set/Clear Enable Bit 716" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB715 ,Set/Clear Enable Bit 715" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB714 ,Set/Clear Enable Bit 714" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB713 ,Set/Clear Enable Bit 713" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB712 ,Set/Clear Enable Bit 712" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB711 ,Set/Clear Enable Bit 711" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB710 ,Set/Clear Enable Bit 710" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB709 ,Set/Clear Enable Bit 709" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB708 ,Set/Clear Enable Bit 708" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB707 ,Set/Clear Enable Bit 707" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB706 ,Set/Clear Enable Bit 706" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB705 ,Set/Clear Enable Bit 705" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB704 ,Set/Clear Enable Bit 704" "Disabled,Enabled" else rgroup.long 0x0158++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER22,Interrupt Set/Clear Enable Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0x015C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER23,Interrupt Set/Clear Enable Register 23" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB767 ,Set/Clear Enable Bit 767" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB766 ,Set/Clear Enable Bit 766" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB765 ,Set/Clear Enable Bit 765" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB764 ,Set/Clear Enable Bit 764" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB763 ,Set/Clear Enable Bit 763" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB762 ,Set/Clear Enable Bit 762" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB761 ,Set/Clear Enable Bit 761" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB760 ,Set/Clear Enable Bit 760" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB759 ,Set/Clear Enable Bit 759" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB758 ,Set/Clear Enable Bit 758" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB757 ,Set/Clear Enable Bit 757" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB756 ,Set/Clear Enable Bit 756" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB755 ,Set/Clear Enable Bit 755" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB754 ,Set/Clear Enable Bit 754" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB753 ,Set/Clear Enable Bit 753" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB752 ,Set/Clear Enable Bit 752" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB751 ,Set/Clear Enable Bit 751" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB750 ,Set/Clear Enable Bit 750" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB749 ,Set/Clear Enable Bit 749" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB748 ,Set/Clear Enable Bit 748" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB747 ,Set/Clear Enable Bit 747" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB746 ,Set/Clear Enable Bit 746" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB745 ,Set/Clear Enable Bit 745" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB744 ,Set/Clear Enable Bit 744" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB743 ,Set/Clear Enable Bit 743" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB742 ,Set/Clear Enable Bit 742" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB741 ,Set/Clear Enable Bit 741" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB740 ,Set/Clear Enable Bit 740" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB739 ,Set/Clear Enable Bit 739" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB738 ,Set/Clear Enable Bit 738" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB737 ,Set/Clear Enable Bit 737" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB736 ,Set/Clear Enable Bit 736" "Disabled,Enabled" else rgroup.long 0x015C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER23,Interrupt Set/Clear Enable Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0x0160++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER24,Interrupt Set/Clear Enable Register 24" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB799 ,Set/Clear Enable Bit 799" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB798 ,Set/Clear Enable Bit 798" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB797 ,Set/Clear Enable Bit 797" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB796 ,Set/Clear Enable Bit 796" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB795 ,Set/Clear Enable Bit 795" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB794 ,Set/Clear Enable Bit 794" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB793 ,Set/Clear Enable Bit 793" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB792 ,Set/Clear Enable Bit 792" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB791 ,Set/Clear Enable Bit 791" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB790 ,Set/Clear Enable Bit 790" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB789 ,Set/Clear Enable Bit 789" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB788 ,Set/Clear Enable Bit 788" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB787 ,Set/Clear Enable Bit 787" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB786 ,Set/Clear Enable Bit 786" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB785 ,Set/Clear Enable Bit 785" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB784 ,Set/Clear Enable Bit 784" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB783 ,Set/Clear Enable Bit 783" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB782 ,Set/Clear Enable Bit 782" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB781 ,Set/Clear Enable Bit 781" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB780 ,Set/Clear Enable Bit 780" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB779 ,Set/Clear Enable Bit 779" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB778 ,Set/Clear Enable Bit 778" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB777 ,Set/Clear Enable Bit 777" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB776 ,Set/Clear Enable Bit 776" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB775 ,Set/Clear Enable Bit 775" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB774 ,Set/Clear Enable Bit 774" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB773 ,Set/Clear Enable Bit 773" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB772 ,Set/Clear Enable Bit 772" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB771 ,Set/Clear Enable Bit 771" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB770 ,Set/Clear Enable Bit 770" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB769 ,Set/Clear Enable Bit 769" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB768 ,Set/Clear Enable Bit 768" "Disabled,Enabled" else rgroup.long 0x0160++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER24,Interrupt Set/Clear Enable Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0x0164++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER25,Interrupt Set/Clear Enable Register 25" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB831 ,Set/Clear Enable Bit 831" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB830 ,Set/Clear Enable Bit 830" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB829 ,Set/Clear Enable Bit 829" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB828 ,Set/Clear Enable Bit 828" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB827 ,Set/Clear Enable Bit 827" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB826 ,Set/Clear Enable Bit 826" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB825 ,Set/Clear Enable Bit 825" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB824 ,Set/Clear Enable Bit 824" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB823 ,Set/Clear Enable Bit 823" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB822 ,Set/Clear Enable Bit 822" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB821 ,Set/Clear Enable Bit 821" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB820 ,Set/Clear Enable Bit 820" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB819 ,Set/Clear Enable Bit 819" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB818 ,Set/Clear Enable Bit 818" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB817 ,Set/Clear Enable Bit 817" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB816 ,Set/Clear Enable Bit 816" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB815 ,Set/Clear Enable Bit 815" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB814 ,Set/Clear Enable Bit 814" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB813 ,Set/Clear Enable Bit 813" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB812 ,Set/Clear Enable Bit 812" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB811 ,Set/Clear Enable Bit 811" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB810 ,Set/Clear Enable Bit 810" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB809 ,Set/Clear Enable Bit 809" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB808 ,Set/Clear Enable Bit 808" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB807 ,Set/Clear Enable Bit 807" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB806 ,Set/Clear Enable Bit 806" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB805 ,Set/Clear Enable Bit 805" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB804 ,Set/Clear Enable Bit 804" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB803 ,Set/Clear Enable Bit 803" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB802 ,Set/Clear Enable Bit 802" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB801 ,Set/Clear Enable Bit 801" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB800 ,Set/Clear Enable Bit 800" "Disabled,Enabled" else rgroup.long 0x0164++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER25,Interrupt Set/Clear Enable Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0x0168++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER26,Interrupt Set/Clear Enable Register 26" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB863 ,Set/Clear Enable Bit 863" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB862 ,Set/Clear Enable Bit 862" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB861 ,Set/Clear Enable Bit 861" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB860 ,Set/Clear Enable Bit 860" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB859 ,Set/Clear Enable Bit 859" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB858 ,Set/Clear Enable Bit 858" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB857 ,Set/Clear Enable Bit 857" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB856 ,Set/Clear Enable Bit 856" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB855 ,Set/Clear Enable Bit 855" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB854 ,Set/Clear Enable Bit 854" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB853 ,Set/Clear Enable Bit 853" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB852 ,Set/Clear Enable Bit 852" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB851 ,Set/Clear Enable Bit 851" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB850 ,Set/Clear Enable Bit 850" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB849 ,Set/Clear Enable Bit 849" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB848 ,Set/Clear Enable Bit 848" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB847 ,Set/Clear Enable Bit 847" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB846 ,Set/Clear Enable Bit 846" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB845 ,Set/Clear Enable Bit 845" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB844 ,Set/Clear Enable Bit 844" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB843 ,Set/Clear Enable Bit 843" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB842 ,Set/Clear Enable Bit 842" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB841 ,Set/Clear Enable Bit 841" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB840 ,Set/Clear Enable Bit 840" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB839 ,Set/Clear Enable Bit 839" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB838 ,Set/Clear Enable Bit 838" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB837 ,Set/Clear Enable Bit 837" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB836 ,Set/Clear Enable Bit 836" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB835 ,Set/Clear Enable Bit 835" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB834 ,Set/Clear Enable Bit 834" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB833 ,Set/Clear Enable Bit 833" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB832 ,Set/Clear Enable Bit 832" "Disabled,Enabled" else rgroup.long 0x0168++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER26,Interrupt Set/Clear Enable Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0x016C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER27,Interrupt Set/Clear Enable Register 27" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB895 ,Set/Clear Enable Bit 895" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB894 ,Set/Clear Enable Bit 894" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB893 ,Set/Clear Enable Bit 893" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB892 ,Set/Clear Enable Bit 892" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB891 ,Set/Clear Enable Bit 891" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB890 ,Set/Clear Enable Bit 890" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB889 ,Set/Clear Enable Bit 889" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB888 ,Set/Clear Enable Bit 888" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB887 ,Set/Clear Enable Bit 887" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB886 ,Set/Clear Enable Bit 886" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB885 ,Set/Clear Enable Bit 885" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB884 ,Set/Clear Enable Bit 884" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB883 ,Set/Clear Enable Bit 883" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB882 ,Set/Clear Enable Bit 882" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB881 ,Set/Clear Enable Bit 881" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB880 ,Set/Clear Enable Bit 880" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB879 ,Set/Clear Enable Bit 879" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB878 ,Set/Clear Enable Bit 878" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB877 ,Set/Clear Enable Bit 877" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB876 ,Set/Clear Enable Bit 876" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB875 ,Set/Clear Enable Bit 875" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB874 ,Set/Clear Enable Bit 874" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB873 ,Set/Clear Enable Bit 873" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB872 ,Set/Clear Enable Bit 872" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB871 ,Set/Clear Enable Bit 871" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB870 ,Set/Clear Enable Bit 870" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB869 ,Set/Clear Enable Bit 869" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB868 ,Set/Clear Enable Bit 868" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB867 ,Set/Clear Enable Bit 867" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB866 ,Set/Clear Enable Bit 866" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB865 ,Set/Clear Enable Bit 865" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB864 ,Set/Clear Enable Bit 864" "Disabled,Enabled" else rgroup.long 0x016C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER27,Interrupt Set/Clear Enable Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0x0170++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER28,Interrupt Set/Clear Enable Register 28" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB927 ,Set/Clear Enable Bit 927" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB926 ,Set/Clear Enable Bit 926" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB925 ,Set/Clear Enable Bit 925" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB924 ,Set/Clear Enable Bit 924" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB923 ,Set/Clear Enable Bit 923" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB922 ,Set/Clear Enable Bit 922" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB921 ,Set/Clear Enable Bit 921" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB920 ,Set/Clear Enable Bit 920" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB919 ,Set/Clear Enable Bit 919" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB918 ,Set/Clear Enable Bit 918" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB917 ,Set/Clear Enable Bit 917" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB916 ,Set/Clear Enable Bit 916" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB915 ,Set/Clear Enable Bit 915" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB914 ,Set/Clear Enable Bit 914" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB913 ,Set/Clear Enable Bit 913" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB912 ,Set/Clear Enable Bit 912" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB911 ,Set/Clear Enable Bit 911" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB910 ,Set/Clear Enable Bit 910" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB909 ,Set/Clear Enable Bit 909" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB908 ,Set/Clear Enable Bit 908" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB907 ,Set/Clear Enable Bit 907" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB906 ,Set/Clear Enable Bit 906" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB905 ,Set/Clear Enable Bit 905" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB904 ,Set/Clear Enable Bit 904" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB903 ,Set/Clear Enable Bit 903" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB902 ,Set/Clear Enable Bit 902" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB901 ,Set/Clear Enable Bit 901" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB900 ,Set/Clear Enable Bit 900" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB899 ,Set/Clear Enable Bit 899" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB898 ,Set/Clear Enable Bit 898" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB897 ,Set/Clear Enable Bit 897" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB896 ,Set/Clear Enable Bit 896" "Disabled,Enabled" else rgroup.long 0x0170++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER28,Interrupt Set/Clear Enable Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0x0174++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER29,Interrupt Set/Clear Enable Register 29" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB959 ,Set/Clear Enable Bit 959" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB958 ,Set/Clear Enable Bit 958" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB957 ,Set/Clear Enable Bit 957" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB956 ,Set/Clear Enable Bit 956" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB955 ,Set/Clear Enable Bit 955" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB954 ,Set/Clear Enable Bit 954" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB953 ,Set/Clear Enable Bit 953" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB952 ,Set/Clear Enable Bit 952" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB951 ,Set/Clear Enable Bit 951" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB950 ,Set/Clear Enable Bit 950" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB949 ,Set/Clear Enable Bit 949" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB948 ,Set/Clear Enable Bit 948" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB947 ,Set/Clear Enable Bit 947" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB946 ,Set/Clear Enable Bit 946" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB945 ,Set/Clear Enable Bit 945" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB944 ,Set/Clear Enable Bit 944" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB943 ,Set/Clear Enable Bit 943" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB942 ,Set/Clear Enable Bit 942" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB941 ,Set/Clear Enable Bit 941" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB940 ,Set/Clear Enable Bit 940" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB939 ,Set/Clear Enable Bit 939" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB938 ,Set/Clear Enable Bit 938" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB937 ,Set/Clear Enable Bit 937" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB936 ,Set/Clear Enable Bit 936" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB935 ,Set/Clear Enable Bit 935" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB934 ,Set/Clear Enable Bit 934" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB933 ,Set/Clear Enable Bit 933" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB932 ,Set/Clear Enable Bit 932" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB931 ,Set/Clear Enable Bit 931" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB930 ,Set/Clear Enable Bit 930" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB929 ,Set/Clear Enable Bit 929" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB928 ,Set/Clear Enable Bit 928" "Disabled,Enabled" else rgroup.long 0x0174++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER29,Interrupt Set/Clear Enable Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0x0178++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER30,Interrupt Set/Clear Enable Register 30" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB991 ,Set/Clear Enable Bit 991" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB990 ,Set/Clear Enable Bit 990" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB989 ,Set/Clear Enable Bit 989" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB988 ,Set/Clear Enable Bit 988" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB987 ,Set/Clear Enable Bit 987" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB986 ,Set/Clear Enable Bit 986" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB985 ,Set/Clear Enable Bit 985" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB984 ,Set/Clear Enable Bit 984" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB983 ,Set/Clear Enable Bit 983" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB982 ,Set/Clear Enable Bit 982" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB981 ,Set/Clear Enable Bit 981" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB980 ,Set/Clear Enable Bit 980" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB979 ,Set/Clear Enable Bit 979" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB978 ,Set/Clear Enable Bit 978" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB977 ,Set/Clear Enable Bit 977" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB976 ,Set/Clear Enable Bit 976" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB975 ,Set/Clear Enable Bit 975" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB974 ,Set/Clear Enable Bit 974" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB973 ,Set/Clear Enable Bit 973" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB972 ,Set/Clear Enable Bit 972" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB971 ,Set/Clear Enable Bit 971" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB970 ,Set/Clear Enable Bit 970" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB969 ,Set/Clear Enable Bit 969" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB968 ,Set/Clear Enable Bit 968" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB967 ,Set/Clear Enable Bit 967" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB966 ,Set/Clear Enable Bit 966" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB965 ,Set/Clear Enable Bit 965" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB964 ,Set/Clear Enable Bit 964" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB963 ,Set/Clear Enable Bit 963" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB962 ,Set/Clear Enable Bit 962" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB961 ,Set/Clear Enable Bit 961" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB960 ,Set/Clear Enable Bit 960" "Disabled,Enabled" else rgroup.long 0x0178++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER30,Interrupt Set/Clear Enable Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)==0x1F) group.long 0x017C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER31,Interrupt Set/Clear Enable Register 31" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB1019 ,Set/Clear Enable Bit 1019" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB1018 ,Set/Clear Enable Bit 1018" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB1017 ,Set/Clear Enable Bit 1017" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB1016 ,Set/Clear Enable Bit 1016" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB1015 ,Set/Clear Enable Bit 1015" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB1014 ,Set/Clear Enable Bit 1014" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB1013 ,Set/Clear Enable Bit 1013" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB1012 ,Set/Clear Enable Bit 1012" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB1011 ,Set/Clear Enable Bit 1011" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB1010 ,Set/Clear Enable Bit 1010" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB1009 ,Set/Clear Enable Bit 1009" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB1008 ,Set/Clear Enable Bit 1008" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB1007 ,Set/Clear Enable Bit 1007" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB1006 ,Set/Clear Enable Bit 1006" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB1005 ,Set/Clear Enable Bit 1005" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB1004 ,Set/Clear Enable Bit 1004" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB1003 ,Set/Clear Enable Bit 1003" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB1002 ,Set/Clear Enable Bit 1002" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB1001 ,Set/Clear Enable Bit 1001" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB1000 ,Set/Clear Enable Bit 1000" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB999 ,Set/Clear Enable Bit 999" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB998 ,Set/Clear Enable Bit 998" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB997 ,Set/Clear Enable Bit 997" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB996 ,Set/Clear Enable Bit 996" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB995 ,Set/Clear Enable Bit 995" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB994 ,Set/Clear Enable Bit 994" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB993 ,Set/Clear Enable Bit 993" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB992 ,Set/Clear Enable Bit 992" "Disabled,Enabled" else rgroup.long 0x017C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER31,Interrupt Set/Clear Enable Register 31" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif tree.end width 22. tree "Set/Clear Pending Registers" group.long 0x0200++0x03 line.long 0x0 "GICD_SET/CLR_PENDR0,Interrupt Set/Clear Pending Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND31 ,Set/Clear Pending Bit 31" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND30 ,Set/Clear Pending Bit 30" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND29 ,Set/Clear Pending Bit 29" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND28 ,Set/Clear Pending Bit 28" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND27 ,Set/Clear Pending Bit 27" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND26 ,Set/Clear Pending Bit 26" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND25 ,Set/Clear Pending Bit 25" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND24 ,Set/Clear Pending Bit 24" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND23 ,Set/Clear Pending Bit 23" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND22 ,Set/Clear Pending Bit 22" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND21 ,Set/Clear Pending Bit 21" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND20 ,Set/Clear Pending Bit 20" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND19 ,Set/Clear Pending Bit 19" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND18 ,Set/Clear Pending Bit 18" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND17 ,Set/Clear Pending Bit 17" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND16 ,Set/Clear Pending Bit 16" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND15 ,Set/Clear Pending Bit 15" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND14 ,Set/Clear Pending Bit 14" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND13 ,Set/Clear Pending Bit 13" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND12 ,Set/Clear Pending Bit 12" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND11 ,Set/Clear Pending Bit 11" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND10 ,Set/Clear Pending Bit 10" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND9 ,Set/Clear Pending Bit 9" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND8 ,Set/Clear Pending Bit 8" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND7 ,Set/Clear Pending Bit 7" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND6 ,Set/Clear Pending Bit 6" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND5 ,Set/Clear Pending Bit 5" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND4 ,Set/Clear Pending Bit 4" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND3 ,Set/Clear Pending Bit 3" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND2 ,Set/Clear Pending Bit 2" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND1 ,Set/Clear Pending Bit 1" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND0 ,Set/Clear Pending Bit 0" "Not pending,Pending" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x0204++0x03 line.long 0x0 "GICD_SET/CLR_PENDR1,Interrupt Set/Clear Pending Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND63 ,Set/Clear Pending Bit 63" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND62 ,Set/Clear Pending Bit 62" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND61 ,Set/Clear Pending Bit 61" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND60 ,Set/Clear Pending Bit 60" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND59 ,Set/Clear Pending Bit 59" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND58 ,Set/Clear Pending Bit 58" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND57 ,Set/Clear Pending Bit 57" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND56 ,Set/Clear Pending Bit 56" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND55 ,Set/Clear Pending Bit 55" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND54 ,Set/Clear Pending Bit 54" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND53 ,Set/Clear Pending Bit 53" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND52 ,Set/Clear Pending Bit 52" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND51 ,Set/Clear Pending Bit 51" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND50 ,Set/Clear Pending Bit 50" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND49 ,Set/Clear Pending Bit 49" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND48 ,Set/Clear Pending Bit 48" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND47 ,Set/Clear Pending Bit 47" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND46 ,Set/Clear Pending Bit 46" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND45 ,Set/Clear Pending Bit 45" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND44 ,Set/Clear Pending Bit 44" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND43 ,Set/Clear Pending Bit 43" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND42 ,Set/Clear Pending Bit 42" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND41 ,Set/Clear Pending Bit 41" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND40 ,Set/Clear Pending Bit 40" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND39 ,Set/Clear Pending Bit 39" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND38 ,Set/Clear Pending Bit 38" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND37 ,Set/Clear Pending Bit 37" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND36 ,Set/Clear Pending Bit 36" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND35 ,Set/Clear Pending Bit 35" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND34 ,Set/Clear Pending Bit 34" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND33 ,Set/Clear Pending Bit 33" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND32 ,Set/Clear Pending Bit 32" "Not pending,Pending" else rgroup.long 0x0204++0x03 line.long 0x0 "GICD_SET/CLR_PENDR1,Interrupt Set/Clear Pending Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x0208++0x03 line.long 0x0 "GICD_SET/CLR_PENDR2,Interrupt Set/Clear Pending Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND95 ,Set/Clear Pending Bit 95" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND94 ,Set/Clear Pending Bit 94" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND93 ,Set/Clear Pending Bit 93" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND92 ,Set/Clear Pending Bit 92" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND91 ,Set/Clear Pending Bit 91" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND90 ,Set/Clear Pending Bit 90" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND89 ,Set/Clear Pending Bit 89" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND88 ,Set/Clear Pending Bit 88" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND87 ,Set/Clear Pending Bit 87" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND86 ,Set/Clear Pending Bit 86" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND85 ,Set/Clear Pending Bit 85" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND84 ,Set/Clear Pending Bit 84" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND83 ,Set/Clear Pending Bit 83" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND82 ,Set/Clear Pending Bit 82" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND81 ,Set/Clear Pending Bit 81" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND80 ,Set/Clear Pending Bit 80" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND79 ,Set/Clear Pending Bit 79" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND78 ,Set/Clear Pending Bit 78" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND77 ,Set/Clear Pending Bit 77" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND76 ,Set/Clear Pending Bit 76" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND75 ,Set/Clear Pending Bit 75" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND74 ,Set/Clear Pending Bit 74" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND73 ,Set/Clear Pending Bit 73" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND72 ,Set/Clear Pending Bit 72" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND71 ,Set/Clear Pending Bit 71" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND70 ,Set/Clear Pending Bit 70" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND69 ,Set/Clear Pending Bit 69" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND68 ,Set/Clear Pending Bit 68" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND67 ,Set/Clear Pending Bit 67" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND66 ,Set/Clear Pending Bit 66" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND65 ,Set/Clear Pending Bit 65" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND64 ,Set/Clear Pending Bit 64" "Not pending,Pending" else rgroup.long 0x0208++0x03 line.long 0x0 "GICD_SET/CLR_PENDR2,Interrupt Set/Clear Pending Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x020C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR3,Interrupt Set/Clear Pending Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND127 ,Set/Clear Pending Bit 127" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND126 ,Set/Clear Pending Bit 126" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND125 ,Set/Clear Pending Bit 125" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND124 ,Set/Clear Pending Bit 124" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND123 ,Set/Clear Pending Bit 123" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND122 ,Set/Clear Pending Bit 122" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND121 ,Set/Clear Pending Bit 121" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND120 ,Set/Clear Pending Bit 120" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND119 ,Set/Clear Pending Bit 119" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND118 ,Set/Clear Pending Bit 118" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND117 ,Set/Clear Pending Bit 117" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND116 ,Set/Clear Pending Bit 116" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND115 ,Set/Clear Pending Bit 115" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND114 ,Set/Clear Pending Bit 114" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND113 ,Set/Clear Pending Bit 113" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND112 ,Set/Clear Pending Bit 112" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND111 ,Set/Clear Pending Bit 111" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND110 ,Set/Clear Pending Bit 110" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND109 ,Set/Clear Pending Bit 109" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND108 ,Set/Clear Pending Bit 108" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND107 ,Set/Clear Pending Bit 107" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND106 ,Set/Clear Pending Bit 106" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND105 ,Set/Clear Pending Bit 105" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND104 ,Set/Clear Pending Bit 104" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND103 ,Set/Clear Pending Bit 103" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND102 ,Set/Clear Pending Bit 102" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND101 ,Set/Clear Pending Bit 101" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND100 ,Set/Clear Pending Bit 100" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND99 ,Set/Clear Pending Bit 99" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND98 ,Set/Clear Pending Bit 98" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND97 ,Set/Clear Pending Bit 97" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND96 ,Set/Clear Pending Bit 96" "Not pending,Pending" else rgroup.long 0x020C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR3,Interrupt Set/Clear Pending Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x0210++0x03 line.long 0x0 "GICD_SET/CLR_PENDR4,Interrupt Set/Clear Pending Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND159 ,Set/Clear Pending Bit 159" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND158 ,Set/Clear Pending Bit 158" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND157 ,Set/Clear Pending Bit 157" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND156 ,Set/Clear Pending Bit 156" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND155 ,Set/Clear Pending Bit 155" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND154 ,Set/Clear Pending Bit 154" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND153 ,Set/Clear Pending Bit 153" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND152 ,Set/Clear Pending Bit 152" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND151 ,Set/Clear Pending Bit 151" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND150 ,Set/Clear Pending Bit 150" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND149 ,Set/Clear Pending Bit 149" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND148 ,Set/Clear Pending Bit 148" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND147 ,Set/Clear Pending Bit 147" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND146 ,Set/Clear Pending Bit 146" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND145 ,Set/Clear Pending Bit 145" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND144 ,Set/Clear Pending Bit 144" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND143 ,Set/Clear Pending Bit 143" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND142 ,Set/Clear Pending Bit 142" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND141 ,Set/Clear Pending Bit 141" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND140 ,Set/Clear Pending Bit 140" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND139 ,Set/Clear Pending Bit 139" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND138 ,Set/Clear Pending Bit 138" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND137 ,Set/Clear Pending Bit 137" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND136 ,Set/Clear Pending Bit 136" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND135 ,Set/Clear Pending Bit 135" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND134 ,Set/Clear Pending Bit 134" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND133 ,Set/Clear Pending Bit 133" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND132 ,Set/Clear Pending Bit 132" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND131 ,Set/Clear Pending Bit 131" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND130 ,Set/Clear Pending Bit 130" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND129 ,Set/Clear Pending Bit 129" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND128 ,Set/Clear Pending Bit 128" "Not pending,Pending" else rgroup.long 0x0210++0x03 line.long 0x0 "GICD_SET/CLR_PENDR4,Interrupt Set/Clear Pending Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x0214++0x03 line.long 0x0 "GICD_SET/CLR_PENDR5,Interrupt Set/Clear Pending Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND191 ,Set/Clear Pending Bit 191" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND190 ,Set/Clear Pending Bit 190" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND189 ,Set/Clear Pending Bit 189" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND188 ,Set/Clear Pending Bit 188" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND187 ,Set/Clear Pending Bit 187" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND186 ,Set/Clear Pending Bit 186" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND185 ,Set/Clear Pending Bit 185" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND184 ,Set/Clear Pending Bit 184" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND183 ,Set/Clear Pending Bit 183" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND182 ,Set/Clear Pending Bit 182" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND181 ,Set/Clear Pending Bit 181" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND180 ,Set/Clear Pending Bit 180" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND179 ,Set/Clear Pending Bit 179" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND178 ,Set/Clear Pending Bit 178" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND177 ,Set/Clear Pending Bit 177" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND176 ,Set/Clear Pending Bit 176" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND175 ,Set/Clear Pending Bit 175" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND174 ,Set/Clear Pending Bit 174" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND173 ,Set/Clear Pending Bit 173" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND172 ,Set/Clear Pending Bit 172" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND171 ,Set/Clear Pending Bit 171" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND170 ,Set/Clear Pending Bit 170" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND169 ,Set/Clear Pending Bit 169" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND168 ,Set/Clear Pending Bit 168" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND167 ,Set/Clear Pending Bit 167" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND166 ,Set/Clear Pending Bit 166" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND165 ,Set/Clear Pending Bit 165" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND164 ,Set/Clear Pending Bit 164" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND163 ,Set/Clear Pending Bit 163" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND162 ,Set/Clear Pending Bit 162" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND161 ,Set/Clear Pending Bit 161" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND160 ,Set/Clear Pending Bit 160" "Not pending,Pending" else rgroup.long 0x0214++0x03 line.long 0x0 "GICD_SET/CLR_PENDR5,Interrupt Set/Clear Pending Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x0218++0x03 line.long 0x0 "GICD_SET/CLR_PENDR6,Interrupt Set/Clear Pending Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND223 ,Set/Clear Pending Bit 223" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND222 ,Set/Clear Pending Bit 222" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND221 ,Set/Clear Pending Bit 221" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND220 ,Set/Clear Pending Bit 220" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND219 ,Set/Clear Pending Bit 219" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND218 ,Set/Clear Pending Bit 218" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND217 ,Set/Clear Pending Bit 217" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND216 ,Set/Clear Pending Bit 216" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND215 ,Set/Clear Pending Bit 215" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND214 ,Set/Clear Pending Bit 214" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND213 ,Set/Clear Pending Bit 213" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND212 ,Set/Clear Pending Bit 212" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND211 ,Set/Clear Pending Bit 211" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND210 ,Set/Clear Pending Bit 210" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND209 ,Set/Clear Pending Bit 209" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND208 ,Set/Clear Pending Bit 208" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND207 ,Set/Clear Pending Bit 207" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND206 ,Set/Clear Pending Bit 206" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND205 ,Set/Clear Pending Bit 205" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND204 ,Set/Clear Pending Bit 204" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND203 ,Set/Clear Pending Bit 203" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND202 ,Set/Clear Pending Bit 202" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND201 ,Set/Clear Pending Bit 201" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND200 ,Set/Clear Pending Bit 200" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND199 ,Set/Clear Pending Bit 199" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND198 ,Set/Clear Pending Bit 198" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND197 ,Set/Clear Pending Bit 197" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND196 ,Set/Clear Pending Bit 196" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND195 ,Set/Clear Pending Bit 195" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND194 ,Set/Clear Pending Bit 194" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND193 ,Set/Clear Pending Bit 193" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND192 ,Set/Clear Pending Bit 192" "Not pending,Pending" else rgroup.long 0x0218++0x03 line.long 0x0 "GICD_SET/CLR_PENDR6,Interrupt Set/Clear Pending Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x021C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR7,Interrupt Set/Clear Pending Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND255 ,Set/Clear Pending Bit 255" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND254 ,Set/Clear Pending Bit 254" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND253 ,Set/Clear Pending Bit 253" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND252 ,Set/Clear Pending Bit 252" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND251 ,Set/Clear Pending Bit 251" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND250 ,Set/Clear Pending Bit 250" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND249 ,Set/Clear Pending Bit 249" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND248 ,Set/Clear Pending Bit 248" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND247 ,Set/Clear Pending Bit 247" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND246 ,Set/Clear Pending Bit 246" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND245 ,Set/Clear Pending Bit 245" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND244 ,Set/Clear Pending Bit 244" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND243 ,Set/Clear Pending Bit 243" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND242 ,Set/Clear Pending Bit 242" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND241 ,Set/Clear Pending Bit 241" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND240 ,Set/Clear Pending Bit 240" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND239 ,Set/Clear Pending Bit 239" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND238 ,Set/Clear Pending Bit 238" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND237 ,Set/Clear Pending Bit 237" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND236 ,Set/Clear Pending Bit 236" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND235 ,Set/Clear Pending Bit 235" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND234 ,Set/Clear Pending Bit 234" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND233 ,Set/Clear Pending Bit 233" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND232 ,Set/Clear Pending Bit 232" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND231 ,Set/Clear Pending Bit 231" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND230 ,Set/Clear Pending Bit 230" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND229 ,Set/Clear Pending Bit 229" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND228 ,Set/Clear Pending Bit 228" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND227 ,Set/Clear Pending Bit 227" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND226 ,Set/Clear Pending Bit 226" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND225 ,Set/Clear Pending Bit 225" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND224 ,Set/Clear Pending Bit 224" "Not pending,Pending" else rgroup.long 0x021C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR7,Interrupt Set/Clear Pending Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x0220++0x03 line.long 0x0 "GICD_SET/CLR_PENDR8,Interrupt Set/Clear Pending Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND287 ,Set/Clear Pending Bit 287" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND286 ,Set/Clear Pending Bit 286" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND285 ,Set/Clear Pending Bit 285" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND284 ,Set/Clear Pending Bit 284" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND283 ,Set/Clear Pending Bit 283" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND282 ,Set/Clear Pending Bit 282" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND281 ,Set/Clear Pending Bit 281" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND280 ,Set/Clear Pending Bit 280" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND279 ,Set/Clear Pending Bit 279" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND278 ,Set/Clear Pending Bit 278" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND277 ,Set/Clear Pending Bit 277" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND276 ,Set/Clear Pending Bit 276" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND275 ,Set/Clear Pending Bit 275" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND274 ,Set/Clear Pending Bit 274" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND273 ,Set/Clear Pending Bit 273" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND272 ,Set/Clear Pending Bit 272" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND271 ,Set/Clear Pending Bit 271" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND270 ,Set/Clear Pending Bit 270" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND269 ,Set/Clear Pending Bit 269" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND268 ,Set/Clear Pending Bit 268" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND267 ,Set/Clear Pending Bit 267" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND266 ,Set/Clear Pending Bit 266" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND265 ,Set/Clear Pending Bit 265" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND264 ,Set/Clear Pending Bit 264" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND263 ,Set/Clear Pending Bit 263" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND262 ,Set/Clear Pending Bit 262" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND261 ,Set/Clear Pending Bit 261" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND260 ,Set/Clear Pending Bit 260" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND259 ,Set/Clear Pending Bit 259" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND258 ,Set/Clear Pending Bit 258" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND257 ,Set/Clear Pending Bit 257" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND256 ,Set/Clear Pending Bit 256" "Not pending,Pending" else rgroup.long 0x0220++0x03 line.long 0x0 "GICD_SET/CLR_PENDR8,Interrupt Set/Clear Pending Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x0224++0x03 line.long 0x0 "GICD_SET/CLR_PENDR9,Interrupt Set/Clear Pending Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND319 ,Set/Clear Pending Bit 319" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND318 ,Set/Clear Pending Bit 318" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND317 ,Set/Clear Pending Bit 317" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND316 ,Set/Clear Pending Bit 316" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND315 ,Set/Clear Pending Bit 315" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND314 ,Set/Clear Pending Bit 314" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND313 ,Set/Clear Pending Bit 313" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND312 ,Set/Clear Pending Bit 312" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND311 ,Set/Clear Pending Bit 311" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND310 ,Set/Clear Pending Bit 310" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND309 ,Set/Clear Pending Bit 309" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND308 ,Set/Clear Pending Bit 308" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND307 ,Set/Clear Pending Bit 307" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND306 ,Set/Clear Pending Bit 306" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND305 ,Set/Clear Pending Bit 305" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND304 ,Set/Clear Pending Bit 304" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND303 ,Set/Clear Pending Bit 303" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND302 ,Set/Clear Pending Bit 302" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND301 ,Set/Clear Pending Bit 301" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND300 ,Set/Clear Pending Bit 300" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND299 ,Set/Clear Pending Bit 299" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND298 ,Set/Clear Pending Bit 298" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND297 ,Set/Clear Pending Bit 297" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND296 ,Set/Clear Pending Bit 296" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND295 ,Set/Clear Pending Bit 295" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND294 ,Set/Clear Pending Bit 294" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND293 ,Set/Clear Pending Bit 293" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND292 ,Set/Clear Pending Bit 292" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND291 ,Set/Clear Pending Bit 291" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND290 ,Set/Clear Pending Bit 290" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND289 ,Set/Clear Pending Bit 289" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND288 ,Set/Clear Pending Bit 288" "Not pending,Pending" else rgroup.long 0x0224++0x03 line.long 0x0 "GICD_SET/CLR_PENDR9,Interrupt Set/Clear Pending Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x0228++0x03 line.long 0x0 "GICD_SET/CLR_PENDR10,Interrupt Set/Clear Pending Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND351 ,Set/Clear Pending Bit 351" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND350 ,Set/Clear Pending Bit 350" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND349 ,Set/Clear Pending Bit 349" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND348 ,Set/Clear Pending Bit 348" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND347 ,Set/Clear Pending Bit 347" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND346 ,Set/Clear Pending Bit 346" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND345 ,Set/Clear Pending Bit 345" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND344 ,Set/Clear Pending Bit 344" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND343 ,Set/Clear Pending Bit 343" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND342 ,Set/Clear Pending Bit 342" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND341 ,Set/Clear Pending Bit 341" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND340 ,Set/Clear Pending Bit 340" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND339 ,Set/Clear Pending Bit 339" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND338 ,Set/Clear Pending Bit 338" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND337 ,Set/Clear Pending Bit 337" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND336 ,Set/Clear Pending Bit 336" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND335 ,Set/Clear Pending Bit 335" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND334 ,Set/Clear Pending Bit 334" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND333 ,Set/Clear Pending Bit 333" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND332 ,Set/Clear Pending Bit 332" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND331 ,Set/Clear Pending Bit 331" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND330 ,Set/Clear Pending Bit 330" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND329 ,Set/Clear Pending Bit 329" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND328 ,Set/Clear Pending Bit 328" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND327 ,Set/Clear Pending Bit 327" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND326 ,Set/Clear Pending Bit 326" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND325 ,Set/Clear Pending Bit 325" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND324 ,Set/Clear Pending Bit 324" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND323 ,Set/Clear Pending Bit 323" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND322 ,Set/Clear Pending Bit 322" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND321 ,Set/Clear Pending Bit 321" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND320 ,Set/Clear Pending Bit 320" "Not pending,Pending" else rgroup.long 0x0228++0x03 line.long 0x0 "GICD_SET/CLR_PENDR10,Interrupt Set/Clear Pending Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x022C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR11,Interrupt Set/Clear Pending Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND383 ,Set/Clear Pending Bit 383" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND382 ,Set/Clear Pending Bit 382" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND381 ,Set/Clear Pending Bit 381" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND380 ,Set/Clear Pending Bit 380" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND379 ,Set/Clear Pending Bit 379" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND378 ,Set/Clear Pending Bit 378" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND377 ,Set/Clear Pending Bit 377" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND376 ,Set/Clear Pending Bit 376" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND375 ,Set/Clear Pending Bit 375" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND374 ,Set/Clear Pending Bit 374" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND373 ,Set/Clear Pending Bit 373" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND372 ,Set/Clear Pending Bit 372" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND371 ,Set/Clear Pending Bit 371" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND370 ,Set/Clear Pending Bit 370" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND369 ,Set/Clear Pending Bit 369" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND368 ,Set/Clear Pending Bit 368" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND367 ,Set/Clear Pending Bit 367" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND366 ,Set/Clear Pending Bit 366" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND365 ,Set/Clear Pending Bit 365" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND364 ,Set/Clear Pending Bit 364" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND363 ,Set/Clear Pending Bit 363" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND362 ,Set/Clear Pending Bit 362" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND361 ,Set/Clear Pending Bit 361" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND360 ,Set/Clear Pending Bit 360" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND359 ,Set/Clear Pending Bit 359" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND358 ,Set/Clear Pending Bit 358" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND357 ,Set/Clear Pending Bit 357" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND356 ,Set/Clear Pending Bit 356" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND355 ,Set/Clear Pending Bit 355" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND354 ,Set/Clear Pending Bit 354" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND353 ,Set/Clear Pending Bit 353" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND352 ,Set/Clear Pending Bit 352" "Not pending,Pending" else rgroup.long 0x022C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR11,Interrupt Set/Clear Pending Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x0230++0x03 line.long 0x0 "GICD_SET/CLR_PENDR12,Interrupt Set/Clear Pending Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND415 ,Set/Clear Pending Bit 415" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND414 ,Set/Clear Pending Bit 414" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND413 ,Set/Clear Pending Bit 413" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND412 ,Set/Clear Pending Bit 412" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND411 ,Set/Clear Pending Bit 411" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND410 ,Set/Clear Pending Bit 410" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND409 ,Set/Clear Pending Bit 409" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND408 ,Set/Clear Pending Bit 408" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND407 ,Set/Clear Pending Bit 407" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND406 ,Set/Clear Pending Bit 406" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND405 ,Set/Clear Pending Bit 405" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND404 ,Set/Clear Pending Bit 404" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND403 ,Set/Clear Pending Bit 403" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND402 ,Set/Clear Pending Bit 402" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND401 ,Set/Clear Pending Bit 401" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND400 ,Set/Clear Pending Bit 400" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND399 ,Set/Clear Pending Bit 399" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND398 ,Set/Clear Pending Bit 398" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND397 ,Set/Clear Pending Bit 397" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND396 ,Set/Clear Pending Bit 396" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND395 ,Set/Clear Pending Bit 395" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND394 ,Set/Clear Pending Bit 394" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND393 ,Set/Clear Pending Bit 393" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND392 ,Set/Clear Pending Bit 392" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND391 ,Set/Clear Pending Bit 391" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND390 ,Set/Clear Pending Bit 390" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND389 ,Set/Clear Pending Bit 389" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND388 ,Set/Clear Pending Bit 388" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND387 ,Set/Clear Pending Bit 387" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND386 ,Set/Clear Pending Bit 386" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND385 ,Set/Clear Pending Bit 385" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND384 ,Set/Clear Pending Bit 384" "Not pending,Pending" else rgroup.long 0x0230++0x03 line.long 0x0 "GICD_SET/CLR_PENDR12,Interrupt Set/Clear Pending Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x0234++0x03 line.long 0x0 "GICD_SET/CLR_PENDR13,Interrupt Set/Clear Pending Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND447 ,Set/Clear Pending Bit 447" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND446 ,Set/Clear Pending Bit 446" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND445 ,Set/Clear Pending Bit 445" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND444 ,Set/Clear Pending Bit 444" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND443 ,Set/Clear Pending Bit 443" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND442 ,Set/Clear Pending Bit 442" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND441 ,Set/Clear Pending Bit 441" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND440 ,Set/Clear Pending Bit 440" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND439 ,Set/Clear Pending Bit 439" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND438 ,Set/Clear Pending Bit 438" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND437 ,Set/Clear Pending Bit 437" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND436 ,Set/Clear Pending Bit 436" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND435 ,Set/Clear Pending Bit 435" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND434 ,Set/Clear Pending Bit 434" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND433 ,Set/Clear Pending Bit 433" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND432 ,Set/Clear Pending Bit 432" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND431 ,Set/Clear Pending Bit 431" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND430 ,Set/Clear Pending Bit 430" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND429 ,Set/Clear Pending Bit 429" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND428 ,Set/Clear Pending Bit 428" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND427 ,Set/Clear Pending Bit 427" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND426 ,Set/Clear Pending Bit 426" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND425 ,Set/Clear Pending Bit 425" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND424 ,Set/Clear Pending Bit 424" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND423 ,Set/Clear Pending Bit 423" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND422 ,Set/Clear Pending Bit 422" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND421 ,Set/Clear Pending Bit 421" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND420 ,Set/Clear Pending Bit 420" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND419 ,Set/Clear Pending Bit 419" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND418 ,Set/Clear Pending Bit 418" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND417 ,Set/Clear Pending Bit 417" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND416 ,Set/Clear Pending Bit 416" "Not pending,Pending" else rgroup.long 0x0234++0x03 line.long 0x0 "GICD_SET/CLR_PENDR13,Interrupt Set/Clear Pending Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x0238++0x03 line.long 0x0 "GICD_SET/CLR_PENDR14,Interrupt Set/Clear Pending Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND479 ,Set/Clear Pending Bit 479" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND478 ,Set/Clear Pending Bit 478" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND477 ,Set/Clear Pending Bit 477" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND476 ,Set/Clear Pending Bit 476" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND475 ,Set/Clear Pending Bit 475" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND474 ,Set/Clear Pending Bit 474" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND473 ,Set/Clear Pending Bit 473" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND472 ,Set/Clear Pending Bit 472" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND471 ,Set/Clear Pending Bit 471" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND470 ,Set/Clear Pending Bit 470" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND469 ,Set/Clear Pending Bit 469" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND468 ,Set/Clear Pending Bit 468" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND467 ,Set/Clear Pending Bit 467" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND466 ,Set/Clear Pending Bit 466" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND465 ,Set/Clear Pending Bit 465" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND464 ,Set/Clear Pending Bit 464" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND463 ,Set/Clear Pending Bit 463" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND462 ,Set/Clear Pending Bit 462" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND461 ,Set/Clear Pending Bit 461" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND460 ,Set/Clear Pending Bit 460" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND459 ,Set/Clear Pending Bit 459" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND458 ,Set/Clear Pending Bit 458" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND457 ,Set/Clear Pending Bit 457" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND456 ,Set/Clear Pending Bit 456" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND455 ,Set/Clear Pending Bit 455" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND454 ,Set/Clear Pending Bit 454" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND453 ,Set/Clear Pending Bit 453" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND452 ,Set/Clear Pending Bit 452" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND451 ,Set/Clear Pending Bit 451" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND450 ,Set/Clear Pending Bit 450" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND449 ,Set/Clear Pending Bit 449" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND448 ,Set/Clear Pending Bit 448" "Not pending,Pending" else rgroup.long 0x0238++0x03 line.long 0x0 "GICD_SET/CLR_PENDR14,Interrupt Set/Clear Pending Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x023C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR15,Interrupt Set/Clear Pending Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND511 ,Set/Clear Pending Bit 511" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND510 ,Set/Clear Pending Bit 510" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND509 ,Set/Clear Pending Bit 509" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND508 ,Set/Clear Pending Bit 508" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND507 ,Set/Clear Pending Bit 507" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND506 ,Set/Clear Pending Bit 506" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND505 ,Set/Clear Pending Bit 505" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND504 ,Set/Clear Pending Bit 504" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND503 ,Set/Clear Pending Bit 503" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND502 ,Set/Clear Pending Bit 502" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND501 ,Set/Clear Pending Bit 501" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND500 ,Set/Clear Pending Bit 500" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND499 ,Set/Clear Pending Bit 499" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND498 ,Set/Clear Pending Bit 498" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND497 ,Set/Clear Pending Bit 497" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND496 ,Set/Clear Pending Bit 496" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND495 ,Set/Clear Pending Bit 495" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND494 ,Set/Clear Pending Bit 494" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND493 ,Set/Clear Pending Bit 493" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND492 ,Set/Clear Pending Bit 492" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND491 ,Set/Clear Pending Bit 491" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND490 ,Set/Clear Pending Bit 490" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND489 ,Set/Clear Pending Bit 489" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND488 ,Set/Clear Pending Bit 488" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND487 ,Set/Clear Pending Bit 487" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND486 ,Set/Clear Pending Bit 486" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND485 ,Set/Clear Pending Bit 485" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND484 ,Set/Clear Pending Bit 484" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND483 ,Set/Clear Pending Bit 483" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND482 ,Set/Clear Pending Bit 482" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND481 ,Set/Clear Pending Bit 481" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND480 ,Set/Clear Pending Bit 480" "Not pending,Pending" else rgroup.long 0x023C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR15,Interrupt Set/Clear Pending Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0x0240++0x03 line.long 0x0 "GICD_SET/CLR_PENDR16,Interrupt Set/Clear Pending Register 16" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND543 ,Set/Clear Pending Bit 543" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND542 ,Set/Clear Pending Bit 542" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND541 ,Set/Clear Pending Bit 541" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND540 ,Set/Clear Pending Bit 540" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND539 ,Set/Clear Pending Bit 539" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND538 ,Set/Clear Pending Bit 538" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND537 ,Set/Clear Pending Bit 537" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND536 ,Set/Clear Pending Bit 536" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND535 ,Set/Clear Pending Bit 535" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND534 ,Set/Clear Pending Bit 534" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND533 ,Set/Clear Pending Bit 533" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND532 ,Set/Clear Pending Bit 532" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND531 ,Set/Clear Pending Bit 531" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND530 ,Set/Clear Pending Bit 530" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND529 ,Set/Clear Pending Bit 529" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND528 ,Set/Clear Pending Bit 528" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND527 ,Set/Clear Pending Bit 527" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND526 ,Set/Clear Pending Bit 526" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND525 ,Set/Clear Pending Bit 525" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND524 ,Set/Clear Pending Bit 524" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND523 ,Set/Clear Pending Bit 523" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND522 ,Set/Clear Pending Bit 522" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND521 ,Set/Clear Pending Bit 521" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND520 ,Set/Clear Pending Bit 520" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND519 ,Set/Clear Pending Bit 519" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND518 ,Set/Clear Pending Bit 518" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND517 ,Set/Clear Pending Bit 517" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND516 ,Set/Clear Pending Bit 516" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND515 ,Set/Clear Pending Bit 515" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND514 ,Set/Clear Pending Bit 514" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND513 ,Set/Clear Pending Bit 513" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND512 ,Set/Clear Pending Bit 512" "Not pending,Pending" else rgroup.long 0x0240++0x03 line.long 0x0 "GICD_SET/CLR_PENDR16,Interrupt Set/Clear Pending Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0x0244++0x03 line.long 0x0 "GICD_SET/CLR_PENDR17,Interrupt Set/Clear Pending Register 17" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND575 ,Set/Clear Pending Bit 575" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND574 ,Set/Clear Pending Bit 574" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND573 ,Set/Clear Pending Bit 573" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND572 ,Set/Clear Pending Bit 572" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND571 ,Set/Clear Pending Bit 571" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND570 ,Set/Clear Pending Bit 570" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND569 ,Set/Clear Pending Bit 569" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND568 ,Set/Clear Pending Bit 568" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND567 ,Set/Clear Pending Bit 567" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND566 ,Set/Clear Pending Bit 566" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND565 ,Set/Clear Pending Bit 565" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND564 ,Set/Clear Pending Bit 564" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND563 ,Set/Clear Pending Bit 563" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND562 ,Set/Clear Pending Bit 562" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND561 ,Set/Clear Pending Bit 561" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND560 ,Set/Clear Pending Bit 560" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND559 ,Set/Clear Pending Bit 559" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND558 ,Set/Clear Pending Bit 558" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND557 ,Set/Clear Pending Bit 557" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND556 ,Set/Clear Pending Bit 556" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND555 ,Set/Clear Pending Bit 555" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND554 ,Set/Clear Pending Bit 554" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND553 ,Set/Clear Pending Bit 553" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND552 ,Set/Clear Pending Bit 552" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND551 ,Set/Clear Pending Bit 551" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND550 ,Set/Clear Pending Bit 550" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND549 ,Set/Clear Pending Bit 549" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND548 ,Set/Clear Pending Bit 548" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND547 ,Set/Clear Pending Bit 547" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND546 ,Set/Clear Pending Bit 546" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND545 ,Set/Clear Pending Bit 545" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND544 ,Set/Clear Pending Bit 544" "Not pending,Pending" else rgroup.long 0x0244++0x03 line.long 0x0 "GICD_SET/CLR_PENDR17,Interrupt Set/Clear Pending Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0x0248++0x03 line.long 0x0 "GICD_SET/CLR_PENDR18,Interrupt Set/Clear Pending Register 18" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND607 ,Set/Clear Pending Bit 607" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND606 ,Set/Clear Pending Bit 606" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND605 ,Set/Clear Pending Bit 605" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND604 ,Set/Clear Pending Bit 604" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND603 ,Set/Clear Pending Bit 603" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND602 ,Set/Clear Pending Bit 602" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND601 ,Set/Clear Pending Bit 601" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND600 ,Set/Clear Pending Bit 600" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND599 ,Set/Clear Pending Bit 599" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND598 ,Set/Clear Pending Bit 598" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND597 ,Set/Clear Pending Bit 597" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND596 ,Set/Clear Pending Bit 596" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND595 ,Set/Clear Pending Bit 595" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND594 ,Set/Clear Pending Bit 594" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND593 ,Set/Clear Pending Bit 593" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND592 ,Set/Clear Pending Bit 592" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND591 ,Set/Clear Pending Bit 591" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND590 ,Set/Clear Pending Bit 590" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND589 ,Set/Clear Pending Bit 589" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND588 ,Set/Clear Pending Bit 588" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND587 ,Set/Clear Pending Bit 587" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND586 ,Set/Clear Pending Bit 586" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND585 ,Set/Clear Pending Bit 585" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND584 ,Set/Clear Pending Bit 584" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND583 ,Set/Clear Pending Bit 583" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND582 ,Set/Clear Pending Bit 582" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND581 ,Set/Clear Pending Bit 581" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND580 ,Set/Clear Pending Bit 580" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND579 ,Set/Clear Pending Bit 579" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND578 ,Set/Clear Pending Bit 578" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND577 ,Set/Clear Pending Bit 577" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND576 ,Set/Clear Pending Bit 576" "Not pending,Pending" else rgroup.long 0x0248++0x03 line.long 0x0 "GICD_SET/CLR_PENDR18,Interrupt Set/Clear Pending Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0x024C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR19,Interrupt Set/Clear Pending Register 19" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND639 ,Set/Clear Pending Bit 639" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND638 ,Set/Clear Pending Bit 638" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND637 ,Set/Clear Pending Bit 637" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND636 ,Set/Clear Pending Bit 636" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND635 ,Set/Clear Pending Bit 635" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND634 ,Set/Clear Pending Bit 634" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND633 ,Set/Clear Pending Bit 633" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND632 ,Set/Clear Pending Bit 632" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND631 ,Set/Clear Pending Bit 631" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND630 ,Set/Clear Pending Bit 630" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND629 ,Set/Clear Pending Bit 629" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND628 ,Set/Clear Pending Bit 628" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND627 ,Set/Clear Pending Bit 627" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND626 ,Set/Clear Pending Bit 626" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND625 ,Set/Clear Pending Bit 625" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND624 ,Set/Clear Pending Bit 624" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND623 ,Set/Clear Pending Bit 623" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND622 ,Set/Clear Pending Bit 622" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND621 ,Set/Clear Pending Bit 621" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND620 ,Set/Clear Pending Bit 620" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND619 ,Set/Clear Pending Bit 619" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND618 ,Set/Clear Pending Bit 618" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND617 ,Set/Clear Pending Bit 617" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND616 ,Set/Clear Pending Bit 616" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND615 ,Set/Clear Pending Bit 615" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND614 ,Set/Clear Pending Bit 614" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND613 ,Set/Clear Pending Bit 613" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND612 ,Set/Clear Pending Bit 612" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND611 ,Set/Clear Pending Bit 611" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND610 ,Set/Clear Pending Bit 610" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND609 ,Set/Clear Pending Bit 609" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND608 ,Set/Clear Pending Bit 608" "Not pending,Pending" else rgroup.long 0x024C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR19,Interrupt Set/Clear Pending Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0x0250++0x03 line.long 0x0 "GICD_SET/CLR_PENDR20,Interrupt Set/Clear Pending Register 20" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND671 ,Set/Clear Pending Bit 671" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND670 ,Set/Clear Pending Bit 670" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND669 ,Set/Clear Pending Bit 669" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND668 ,Set/Clear Pending Bit 668" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND667 ,Set/Clear Pending Bit 667" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND666 ,Set/Clear Pending Bit 666" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND665 ,Set/Clear Pending Bit 665" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND664 ,Set/Clear Pending Bit 664" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND663 ,Set/Clear Pending Bit 663" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND662 ,Set/Clear Pending Bit 662" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND661 ,Set/Clear Pending Bit 661" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND660 ,Set/Clear Pending Bit 660" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND659 ,Set/Clear Pending Bit 659" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND658 ,Set/Clear Pending Bit 658" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND657 ,Set/Clear Pending Bit 657" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND656 ,Set/Clear Pending Bit 656" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND655 ,Set/Clear Pending Bit 655" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND654 ,Set/Clear Pending Bit 654" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND653 ,Set/Clear Pending Bit 653" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND652 ,Set/Clear Pending Bit 652" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND651 ,Set/Clear Pending Bit 651" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND650 ,Set/Clear Pending Bit 650" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND649 ,Set/Clear Pending Bit 649" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND648 ,Set/Clear Pending Bit 648" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND647 ,Set/Clear Pending Bit 647" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND646 ,Set/Clear Pending Bit 646" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND645 ,Set/Clear Pending Bit 645" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND644 ,Set/Clear Pending Bit 644" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND643 ,Set/Clear Pending Bit 643" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND642 ,Set/Clear Pending Bit 642" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND641 ,Set/Clear Pending Bit 641" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND640 ,Set/Clear Pending Bit 640" "Not pending,Pending" else rgroup.long 0x0250++0x03 line.long 0x0 "GICD_SET/CLR_PENDR20,Interrupt Set/Clear Pending Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0x0254++0x03 line.long 0x0 "GICD_SET/CLR_PENDR21,Interrupt Set/Clear Pending Register 21" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND703 ,Set/Clear Pending Bit 703" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND702 ,Set/Clear Pending Bit 702" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND701 ,Set/Clear Pending Bit 701" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND700 ,Set/Clear Pending Bit 700" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND699 ,Set/Clear Pending Bit 699" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND698 ,Set/Clear Pending Bit 698" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND697 ,Set/Clear Pending Bit 697" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND696 ,Set/Clear Pending Bit 696" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND695 ,Set/Clear Pending Bit 695" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND694 ,Set/Clear Pending Bit 694" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND693 ,Set/Clear Pending Bit 693" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND692 ,Set/Clear Pending Bit 692" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND691 ,Set/Clear Pending Bit 691" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND690 ,Set/Clear Pending Bit 690" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND689 ,Set/Clear Pending Bit 689" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND688 ,Set/Clear Pending Bit 688" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND687 ,Set/Clear Pending Bit 687" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND686 ,Set/Clear Pending Bit 686" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND685 ,Set/Clear Pending Bit 685" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND684 ,Set/Clear Pending Bit 684" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND683 ,Set/Clear Pending Bit 683" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND682 ,Set/Clear Pending Bit 682" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND681 ,Set/Clear Pending Bit 681" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND680 ,Set/Clear Pending Bit 680" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND679 ,Set/Clear Pending Bit 679" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND678 ,Set/Clear Pending Bit 678" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND677 ,Set/Clear Pending Bit 677" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND676 ,Set/Clear Pending Bit 676" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND675 ,Set/Clear Pending Bit 675" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND674 ,Set/Clear Pending Bit 674" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND673 ,Set/Clear Pending Bit 673" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND672 ,Set/Clear Pending Bit 672" "Not pending,Pending" else rgroup.long 0x0254++0x03 line.long 0x0 "GICD_SET/CLR_PENDR21,Interrupt Set/Clear Pending Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0x0258++0x03 line.long 0x0 "GICD_SET/CLR_PENDR22,Interrupt Set/Clear Pending Register 22" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND735 ,Set/Clear Pending Bit 735" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND734 ,Set/Clear Pending Bit 734" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND733 ,Set/Clear Pending Bit 733" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND732 ,Set/Clear Pending Bit 732" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND731 ,Set/Clear Pending Bit 731" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND730 ,Set/Clear Pending Bit 730" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND729 ,Set/Clear Pending Bit 729" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND728 ,Set/Clear Pending Bit 728" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND727 ,Set/Clear Pending Bit 727" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND726 ,Set/Clear Pending Bit 726" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND725 ,Set/Clear Pending Bit 725" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND724 ,Set/Clear Pending Bit 724" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND723 ,Set/Clear Pending Bit 723" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND722 ,Set/Clear Pending Bit 722" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND721 ,Set/Clear Pending Bit 721" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND720 ,Set/Clear Pending Bit 720" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND719 ,Set/Clear Pending Bit 719" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND718 ,Set/Clear Pending Bit 718" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND717 ,Set/Clear Pending Bit 717" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND716 ,Set/Clear Pending Bit 716" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND715 ,Set/Clear Pending Bit 715" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND714 ,Set/Clear Pending Bit 714" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND713 ,Set/Clear Pending Bit 713" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND712 ,Set/Clear Pending Bit 712" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND711 ,Set/Clear Pending Bit 711" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND710 ,Set/Clear Pending Bit 710" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND709 ,Set/Clear Pending Bit 709" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND708 ,Set/Clear Pending Bit 708" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND707 ,Set/Clear Pending Bit 707" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND706 ,Set/Clear Pending Bit 706" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND705 ,Set/Clear Pending Bit 705" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND704 ,Set/Clear Pending Bit 704" "Not pending,Pending" else rgroup.long 0x0258++0x03 line.long 0x0 "GICD_SET/CLR_PENDR22,Interrupt Set/Clear Pending Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0x025C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR23,Interrupt Set/Clear Pending Register 23" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND767 ,Set/Clear Pending Bit 767" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND766 ,Set/Clear Pending Bit 766" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND765 ,Set/Clear Pending Bit 765" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND764 ,Set/Clear Pending Bit 764" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND763 ,Set/Clear Pending Bit 763" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND762 ,Set/Clear Pending Bit 762" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND761 ,Set/Clear Pending Bit 761" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND760 ,Set/Clear Pending Bit 760" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND759 ,Set/Clear Pending Bit 759" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND758 ,Set/Clear Pending Bit 758" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND757 ,Set/Clear Pending Bit 757" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND756 ,Set/Clear Pending Bit 756" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND755 ,Set/Clear Pending Bit 755" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND754 ,Set/Clear Pending Bit 754" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND753 ,Set/Clear Pending Bit 753" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND752 ,Set/Clear Pending Bit 752" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND751 ,Set/Clear Pending Bit 751" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND750 ,Set/Clear Pending Bit 750" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND749 ,Set/Clear Pending Bit 749" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND748 ,Set/Clear Pending Bit 748" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND747 ,Set/Clear Pending Bit 747" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND746 ,Set/Clear Pending Bit 746" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND745 ,Set/Clear Pending Bit 745" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND744 ,Set/Clear Pending Bit 744" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND743 ,Set/Clear Pending Bit 743" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND742 ,Set/Clear Pending Bit 742" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND741 ,Set/Clear Pending Bit 741" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND740 ,Set/Clear Pending Bit 740" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND739 ,Set/Clear Pending Bit 739" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND738 ,Set/Clear Pending Bit 738" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND737 ,Set/Clear Pending Bit 737" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND736 ,Set/Clear Pending Bit 736" "Not pending,Pending" else rgroup.long 0x025C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR23,Interrupt Set/Clear Pending Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0x0260++0x03 line.long 0x0 "GICD_SET/CLR_PENDR24,Interrupt Set/Clear Pending Register 24" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND799 ,Set/Clear Pending Bit 799" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND798 ,Set/Clear Pending Bit 798" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND797 ,Set/Clear Pending Bit 797" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND796 ,Set/Clear Pending Bit 796" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND795 ,Set/Clear Pending Bit 795" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND794 ,Set/Clear Pending Bit 794" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND793 ,Set/Clear Pending Bit 793" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND792 ,Set/Clear Pending Bit 792" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND791 ,Set/Clear Pending Bit 791" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND790 ,Set/Clear Pending Bit 790" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND789 ,Set/Clear Pending Bit 789" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND788 ,Set/Clear Pending Bit 788" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND787 ,Set/Clear Pending Bit 787" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND786 ,Set/Clear Pending Bit 786" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND785 ,Set/Clear Pending Bit 785" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND784 ,Set/Clear Pending Bit 784" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND783 ,Set/Clear Pending Bit 783" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND782 ,Set/Clear Pending Bit 782" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND781 ,Set/Clear Pending Bit 781" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND780 ,Set/Clear Pending Bit 780" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND779 ,Set/Clear Pending Bit 779" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND778 ,Set/Clear Pending Bit 778" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND777 ,Set/Clear Pending Bit 777" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND776 ,Set/Clear Pending Bit 776" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND775 ,Set/Clear Pending Bit 775" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND774 ,Set/Clear Pending Bit 774" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND773 ,Set/Clear Pending Bit 773" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND772 ,Set/Clear Pending Bit 772" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND771 ,Set/Clear Pending Bit 771" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND770 ,Set/Clear Pending Bit 770" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND769 ,Set/Clear Pending Bit 769" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND768 ,Set/Clear Pending Bit 768" "Not pending,Pending" else rgroup.long 0x0260++0x03 line.long 0x0 "GICD_SET/CLR_PENDR24,Interrupt Set/Clear Pending Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0x0264++0x03 line.long 0x0 "GICD_SET/CLR_PENDR25,Interrupt Set/Clear Pending Register 25" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND831 ,Set/Clear Pending Bit 831" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND830 ,Set/Clear Pending Bit 830" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND829 ,Set/Clear Pending Bit 829" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND828 ,Set/Clear Pending Bit 828" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND827 ,Set/Clear Pending Bit 827" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND826 ,Set/Clear Pending Bit 826" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND825 ,Set/Clear Pending Bit 825" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND824 ,Set/Clear Pending Bit 824" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND823 ,Set/Clear Pending Bit 823" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND822 ,Set/Clear Pending Bit 822" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND821 ,Set/Clear Pending Bit 821" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND820 ,Set/Clear Pending Bit 820" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND819 ,Set/Clear Pending Bit 819" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND818 ,Set/Clear Pending Bit 818" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND817 ,Set/Clear Pending Bit 817" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND816 ,Set/Clear Pending Bit 816" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND815 ,Set/Clear Pending Bit 815" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND814 ,Set/Clear Pending Bit 814" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND813 ,Set/Clear Pending Bit 813" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND812 ,Set/Clear Pending Bit 812" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND811 ,Set/Clear Pending Bit 811" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND810 ,Set/Clear Pending Bit 810" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND809 ,Set/Clear Pending Bit 809" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND808 ,Set/Clear Pending Bit 808" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND807 ,Set/Clear Pending Bit 807" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND806 ,Set/Clear Pending Bit 806" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND805 ,Set/Clear Pending Bit 805" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND804 ,Set/Clear Pending Bit 804" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND803 ,Set/Clear Pending Bit 803" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND802 ,Set/Clear Pending Bit 802" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND801 ,Set/Clear Pending Bit 801" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND800 ,Set/Clear Pending Bit 800" "Not pending,Pending" else rgroup.long 0x0264++0x03 line.long 0x0 "GICD_SET/CLR_PENDR25,Interrupt Set/Clear Pending Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0x0268++0x03 line.long 0x0 "GICD_SET/CLR_PENDR26,Interrupt Set/Clear Pending Register 26" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND863 ,Set/Clear Pending Bit 863" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND862 ,Set/Clear Pending Bit 862" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND861 ,Set/Clear Pending Bit 861" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND860 ,Set/Clear Pending Bit 860" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND859 ,Set/Clear Pending Bit 859" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND858 ,Set/Clear Pending Bit 858" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND857 ,Set/Clear Pending Bit 857" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND856 ,Set/Clear Pending Bit 856" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND855 ,Set/Clear Pending Bit 855" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND854 ,Set/Clear Pending Bit 854" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND853 ,Set/Clear Pending Bit 853" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND852 ,Set/Clear Pending Bit 852" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND851 ,Set/Clear Pending Bit 851" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND850 ,Set/Clear Pending Bit 850" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND849 ,Set/Clear Pending Bit 849" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND848 ,Set/Clear Pending Bit 848" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND847 ,Set/Clear Pending Bit 847" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND846 ,Set/Clear Pending Bit 846" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND845 ,Set/Clear Pending Bit 845" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND844 ,Set/Clear Pending Bit 844" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND843 ,Set/Clear Pending Bit 843" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND842 ,Set/Clear Pending Bit 842" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND841 ,Set/Clear Pending Bit 841" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND840 ,Set/Clear Pending Bit 840" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND839 ,Set/Clear Pending Bit 839" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND838 ,Set/Clear Pending Bit 838" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND837 ,Set/Clear Pending Bit 837" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND836 ,Set/Clear Pending Bit 836" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND835 ,Set/Clear Pending Bit 835" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND834 ,Set/Clear Pending Bit 834" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND833 ,Set/Clear Pending Bit 833" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND832 ,Set/Clear Pending Bit 832" "Not pending,Pending" else rgroup.long 0x0268++0x03 line.long 0x0 "GICD_SET/CLR_PENDR26,Interrupt Set/Clear Pending Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0x026C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR27,Interrupt Set/Clear Pending Register 27" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND895 ,Set/Clear Pending Bit 895" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND894 ,Set/Clear Pending Bit 894" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND893 ,Set/Clear Pending Bit 893" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND892 ,Set/Clear Pending Bit 892" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND891 ,Set/Clear Pending Bit 891" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND890 ,Set/Clear Pending Bit 890" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND889 ,Set/Clear Pending Bit 889" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND888 ,Set/Clear Pending Bit 888" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND887 ,Set/Clear Pending Bit 887" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND886 ,Set/Clear Pending Bit 886" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND885 ,Set/Clear Pending Bit 885" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND884 ,Set/Clear Pending Bit 884" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND883 ,Set/Clear Pending Bit 883" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND882 ,Set/Clear Pending Bit 882" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND881 ,Set/Clear Pending Bit 881" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND880 ,Set/Clear Pending Bit 880" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND879 ,Set/Clear Pending Bit 879" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND878 ,Set/Clear Pending Bit 878" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND877 ,Set/Clear Pending Bit 877" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND876 ,Set/Clear Pending Bit 876" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND875 ,Set/Clear Pending Bit 875" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND874 ,Set/Clear Pending Bit 874" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND873 ,Set/Clear Pending Bit 873" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND872 ,Set/Clear Pending Bit 872" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND871 ,Set/Clear Pending Bit 871" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND870 ,Set/Clear Pending Bit 870" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND869 ,Set/Clear Pending Bit 869" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND868 ,Set/Clear Pending Bit 868" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND867 ,Set/Clear Pending Bit 867" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND866 ,Set/Clear Pending Bit 866" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND865 ,Set/Clear Pending Bit 865" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND864 ,Set/Clear Pending Bit 864" "Not pending,Pending" else rgroup.long 0x026C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR27,Interrupt Set/Clear Pending Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0x0270++0x03 line.long 0x0 "GICD_SET/CLR_PENDR28,Interrupt Set/Clear Pending Register 28" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND927 ,Set/Clear Pending Bit 927" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND926 ,Set/Clear Pending Bit 926" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND925 ,Set/Clear Pending Bit 925" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND924 ,Set/Clear Pending Bit 924" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND923 ,Set/Clear Pending Bit 923" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND922 ,Set/Clear Pending Bit 922" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND921 ,Set/Clear Pending Bit 921" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND920 ,Set/Clear Pending Bit 920" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND919 ,Set/Clear Pending Bit 919" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND918 ,Set/Clear Pending Bit 918" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND917 ,Set/Clear Pending Bit 917" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND916 ,Set/Clear Pending Bit 916" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND915 ,Set/Clear Pending Bit 915" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND914 ,Set/Clear Pending Bit 914" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND913 ,Set/Clear Pending Bit 913" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND912 ,Set/Clear Pending Bit 912" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND911 ,Set/Clear Pending Bit 911" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND910 ,Set/Clear Pending Bit 910" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND909 ,Set/Clear Pending Bit 909" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND908 ,Set/Clear Pending Bit 908" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND907 ,Set/Clear Pending Bit 907" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND906 ,Set/Clear Pending Bit 906" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND905 ,Set/Clear Pending Bit 905" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND904 ,Set/Clear Pending Bit 904" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND903 ,Set/Clear Pending Bit 903" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND902 ,Set/Clear Pending Bit 902" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND901 ,Set/Clear Pending Bit 901" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND900 ,Set/Clear Pending Bit 900" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND899 ,Set/Clear Pending Bit 899" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND898 ,Set/Clear Pending Bit 898" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND897 ,Set/Clear Pending Bit 897" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND896 ,Set/Clear Pending Bit 896" "Not pending,Pending" else rgroup.long 0x0270++0x03 line.long 0x0 "GICD_SET/CLR_PENDR28,Interrupt Set/Clear Pending Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0x0274++0x03 line.long 0x0 "GICD_SET/CLR_PENDR29,Interrupt Set/Clear Pending Register 29" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND959 ,Set/Clear Pending Bit 959" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND958 ,Set/Clear Pending Bit 958" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND957 ,Set/Clear Pending Bit 957" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND956 ,Set/Clear Pending Bit 956" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND955 ,Set/Clear Pending Bit 955" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND954 ,Set/Clear Pending Bit 954" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND953 ,Set/Clear Pending Bit 953" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND952 ,Set/Clear Pending Bit 952" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND951 ,Set/Clear Pending Bit 951" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND950 ,Set/Clear Pending Bit 950" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND949 ,Set/Clear Pending Bit 949" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND948 ,Set/Clear Pending Bit 948" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND947 ,Set/Clear Pending Bit 947" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND946 ,Set/Clear Pending Bit 946" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND945 ,Set/Clear Pending Bit 945" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND944 ,Set/Clear Pending Bit 944" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND943 ,Set/Clear Pending Bit 943" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND942 ,Set/Clear Pending Bit 942" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND941 ,Set/Clear Pending Bit 941" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND940 ,Set/Clear Pending Bit 940" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND939 ,Set/Clear Pending Bit 939" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND938 ,Set/Clear Pending Bit 938" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND937 ,Set/Clear Pending Bit 937" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND936 ,Set/Clear Pending Bit 936" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND935 ,Set/Clear Pending Bit 935" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND934 ,Set/Clear Pending Bit 934" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND933 ,Set/Clear Pending Bit 933" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND932 ,Set/Clear Pending Bit 932" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND931 ,Set/Clear Pending Bit 931" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND930 ,Set/Clear Pending Bit 930" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND929 ,Set/Clear Pending Bit 929" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND928 ,Set/Clear Pending Bit 928" "Not pending,Pending" else rgroup.long 0x0274++0x03 line.long 0x0 "GICD_SET/CLR_PENDR29,Interrupt Set/Clear Pending Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0x0278++0x03 line.long 0x0 "GICD_SET/CLR_PENDR30,Interrupt Set/Clear Pending Register 30" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND991 ,Set/Clear Pending Bit 991" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND990 ,Set/Clear Pending Bit 990" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND989 ,Set/Clear Pending Bit 989" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND988 ,Set/Clear Pending Bit 988" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND987 ,Set/Clear Pending Bit 987" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND986 ,Set/Clear Pending Bit 986" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND985 ,Set/Clear Pending Bit 985" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND984 ,Set/Clear Pending Bit 984" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND983 ,Set/Clear Pending Bit 983" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND982 ,Set/Clear Pending Bit 982" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND981 ,Set/Clear Pending Bit 981" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND980 ,Set/Clear Pending Bit 980" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND979 ,Set/Clear Pending Bit 979" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND978 ,Set/Clear Pending Bit 978" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND977 ,Set/Clear Pending Bit 977" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND976 ,Set/Clear Pending Bit 976" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND975 ,Set/Clear Pending Bit 975" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND974 ,Set/Clear Pending Bit 974" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND973 ,Set/Clear Pending Bit 973" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND972 ,Set/Clear Pending Bit 972" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND971 ,Set/Clear Pending Bit 971" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND970 ,Set/Clear Pending Bit 970" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND969 ,Set/Clear Pending Bit 969" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND968 ,Set/Clear Pending Bit 968" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND967 ,Set/Clear Pending Bit 967" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND966 ,Set/Clear Pending Bit 966" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND965 ,Set/Clear Pending Bit 965" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND964 ,Set/Clear Pending Bit 964" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND963 ,Set/Clear Pending Bit 963" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND962 ,Set/Clear Pending Bit 962" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND961 ,Set/Clear Pending Bit 961" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND960 ,Set/Clear Pending Bit 960" "Not pending,Pending" else rgroup.long 0x0278++0x03 line.long 0x0 "GICD_SET/CLR_PENDR30,Interrupt Set/Clear Pending Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)==0x1F) group.long 0x027C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR31,Interrupt Set/Clear Pending Register 31" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND1019 ,Set/Clear Pending Bit 1019" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND1018 ,Set/Clear Pending Bit 1018" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND1017 ,Set/Clear Pending Bit 1017" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND1016 ,Set/Clear Pending Bit 1016" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND1015 ,Set/Clear Pending Bit 1015" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND1014 ,Set/Clear Pending Bit 1014" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND1013 ,Set/Clear Pending Bit 1013" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND1012 ,Set/Clear Pending Bit 1012" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND1011 ,Set/Clear Pending Bit 1011" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND1010 ,Set/Clear Pending Bit 1010" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND1009 ,Set/Clear Pending Bit 1009" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND1008 ,Set/Clear Pending Bit 1008" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND1007 ,Set/Clear Pending Bit 1007" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND1006 ,Set/Clear Pending Bit 1006" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND1005 ,Set/Clear Pending Bit 1005" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND1004 ,Set/Clear Pending Bit 1004" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND1003 ,Set/Clear Pending Bit 1003" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND1002 ,Set/Clear Pending Bit 1002" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND1001 ,Set/Clear Pending Bit 1001" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND1000 ,Set/Clear Pending Bit 1000" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND999 ,Set/Clear Pending Bit 999" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND998 ,Set/Clear Pending Bit 998" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND997 ,Set/Clear Pending Bit 997" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND996 ,Set/Clear Pending Bit 996" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND995 ,Set/Clear Pending Bit 995" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND994 ,Set/Clear Pending Bit 994" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND993 ,Set/Clear Pending Bit 993" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND992 ,Set/Clear Pending Bit 992" "Not pending,Pending" else rgroup.long 0x027C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR31,Interrupt Set/Clear Pending Register 31" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif tree.end width 24. tree "Set/Clear Active Registers" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x08))&0xFF000000)==(0x0000000||0x1000000)) rgroup.long 0x0300++0x03 line.long 0x0 "GICD_ICDABR0,Active Status Register 0" bitfld.long 0x00 31. " ASB31 ,Active Status Bit 31" "Not active,Active" bitfld.long 0x00 30. " ASB30 ,Active Status Bit 30" "Not active,Active" bitfld.long 0x00 29. " ASB29 ,Active Status Bit 29" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB28 ,Active Status Bit 28" "Not active,Active" bitfld.long 0x00 27. " ASB27 ,Active Status Bit 27" "Not active,Active" bitfld.long 0x00 26. " ASB26 ,Active Status Bit 26" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB25 ,Active Status Bit 25" "Not active,Active" bitfld.long 0x00 24. " ASB24 ,Active Status Bit 24" "Not active,Active" bitfld.long 0x00 23. " ASB23 ,Active Status Bit 23" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB22 ,Active Status Bit 22" "Not active,Active" bitfld.long 0x00 21. " ASB21 ,Active Status Bit 21" "Not active,Active" bitfld.long 0x00 20. " ASB20 ,Active Status Bit 20" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB19 ,Active Status Bit 19" "Not active,Active" bitfld.long 0x00 18. " ASB18 ,Active Status Bit 18" "Not active,Active" bitfld.long 0x00 17. " ASB17 ,Active Status Bit 17" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB16 ,Active Status Bit 16" "Not active,Active" bitfld.long 0x00 15. " ASB15 ,Active Status Bit 15" "Not active,Active" bitfld.long 0x00 14. " ASB14 ,Active Status Bit 14" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB13 ,Active Status Bit 13" "Not active,Active" bitfld.long 0x00 12. " ASB12 ,Active Status Bit 12" "Not active,Active" bitfld.long 0x00 11. " ASB11 ,Active Status Bit 11" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB10 ,Active Status Bit 10" "Not active,Active" bitfld.long 0x00 9. " ASB9 ,Active Status Bit 9" "Not active,Active" bitfld.long 0x00 8. " ASB8 ,Active Status Bit 8" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB7 ,Active Status Bit 7" "Not active,Active" bitfld.long 0x00 6. " ASB6 ,Active Status Bit 6" "Not active,Active" bitfld.long 0x00 5. " ASB5 ,Active Status Bit 5" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB4 ,Active Status Bit 4" "Not active,Active" bitfld.long 0x00 3. " ASB3 ,Active Status Bit 3" "Not active,Active" bitfld.long 0x00 2. " ASB2 ,Active Status Bit 2" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB1 ,Active Status Bit 1" "Not active,Active" bitfld.long 0x00 0. " ASB0 ,Active Status Bit 0" "Not active,Active" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) rgroup.long 0x0304++0x03 line.long 0x0 "GICD_ICDABR1,Active Status Register 1" bitfld.long 0x00 31. " ASB63 ,Active Status Bit 63" "Not active,Active" bitfld.long 0x00 30. " ASB62 ,Active Status Bit 62" "Not active,Active" bitfld.long 0x00 29. " ASB61 ,Active Status Bit 61" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB60 ,Active Status Bit 60" "Not active,Active" bitfld.long 0x00 27. " ASB59 ,Active Status Bit 59" "Not active,Active" bitfld.long 0x00 26. " ASB58 ,Active Status Bit 58" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB57 ,Active Status Bit 57" "Not active,Active" bitfld.long 0x00 24. " ASB56 ,Active Status Bit 56" "Not active,Active" bitfld.long 0x00 23. " ASB55 ,Active Status Bit 55" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB54 ,Active Status Bit 54" "Not active,Active" bitfld.long 0x00 21. " ASB53 ,Active Status Bit 53" "Not active,Active" bitfld.long 0x00 20. " ASB52 ,Active Status Bit 52" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB51 ,Active Status Bit 51" "Not active,Active" bitfld.long 0x00 18. " ASB50 ,Active Status Bit 50" "Not active,Active" bitfld.long 0x00 17. " ASB49 ,Active Status Bit 49" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB48 ,Active Status Bit 48" "Not active,Active" bitfld.long 0x00 15. " ASB47 ,Active Status Bit 47" "Not active,Active" bitfld.long 0x00 14. " ASB46 ,Active Status Bit 46" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB45 ,Active Status Bit 45" "Not active,Active" bitfld.long 0x00 12. " ASB44 ,Active Status Bit 44" "Not active,Active" bitfld.long 0x00 11. " ASB43 ,Active Status Bit 43" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB42 ,Active Status Bit 42" "Not active,Active" bitfld.long 0x00 9. " ASB41 ,Active Status Bit 41" "Not active,Active" bitfld.long 0x00 8. " ASB40 ,Active Status Bit 40" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB39 ,Active Status Bit 39" "Not active,Active" bitfld.long 0x00 6. " ASB38 ,Active Status Bit 38" "Not active,Active" bitfld.long 0x00 5. " ASB37 ,Active Status Bit 37" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB36 ,Active Status Bit 36" "Not active,Active" bitfld.long 0x00 3. " ASB35 ,Active Status Bit 35" "Not active,Active" bitfld.long 0x00 2. " ASB34 ,Active Status Bit 34" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB33 ,Active Status Bit 33" "Not active,Active" bitfld.long 0x00 0. " ASB32 ,Active Status Bit 32" "Not active,Active" else rgroup.long 0x0304++0x03 line.long 0x0 "GICD_ICDABR1,Active Status Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) rgroup.long 0x0308++0x03 line.long 0x0 "GICD_ICDABR2,Active Status Register 2" bitfld.long 0x00 31. " ASB95 ,Active Status Bit 95" "Not active,Active" bitfld.long 0x00 30. " ASB94 ,Active Status Bit 94" "Not active,Active" bitfld.long 0x00 29. " ASB93 ,Active Status Bit 93" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB92 ,Active Status Bit 92" "Not active,Active" bitfld.long 0x00 27. " ASB91 ,Active Status Bit 91" "Not active,Active" bitfld.long 0x00 26. " ASB90 ,Active Status Bit 90" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB89 ,Active Status Bit 89" "Not active,Active" bitfld.long 0x00 24. " ASB88 ,Active Status Bit 88" "Not active,Active" bitfld.long 0x00 23. " ASB87 ,Active Status Bit 87" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB86 ,Active Status Bit 86" "Not active,Active" bitfld.long 0x00 21. " ASB85 ,Active Status Bit 85" "Not active,Active" bitfld.long 0x00 20. " ASB84 ,Active Status Bit 84" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB83 ,Active Status Bit 83" "Not active,Active" bitfld.long 0x00 18. " ASB82 ,Active Status Bit 82" "Not active,Active" bitfld.long 0x00 17. " ASB81 ,Active Status Bit 81" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB80 ,Active Status Bit 80" "Not active,Active" bitfld.long 0x00 15. " ASB79 ,Active Status Bit 79" "Not active,Active" bitfld.long 0x00 14. " ASB78 ,Active Status Bit 78" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB77 ,Active Status Bit 77" "Not active,Active" bitfld.long 0x00 12. " ASB76 ,Active Status Bit 76" "Not active,Active" bitfld.long 0x00 11. " ASB75 ,Active Status Bit 75" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB74 ,Active Status Bit 74" "Not active,Active" bitfld.long 0x00 9. " ASB73 ,Active Status Bit 73" "Not active,Active" bitfld.long 0x00 8. " ASB72 ,Active Status Bit 72" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB71 ,Active Status Bit 71" "Not active,Active" bitfld.long 0x00 6. " ASB70 ,Active Status Bit 70" "Not active,Active" bitfld.long 0x00 5. " ASB69 ,Active Status Bit 69" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB68 ,Active Status Bit 68" "Not active,Active" bitfld.long 0x00 3. " ASB67 ,Active Status Bit 67" "Not active,Active" bitfld.long 0x00 2. " ASB66 ,Active Status Bit 66" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB65 ,Active Status Bit 65" "Not active,Active" bitfld.long 0x00 0. " ASB64 ,Active Status Bit 64" "Not active,Active" else rgroup.long 0x0308++0x03 line.long 0x0 "GICD_ICDABR2,Active Status Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) rgroup.long 0x030C++0x03 line.long 0x0 "GICD_ICDABR3,Active Status Register 3" bitfld.long 0x00 31. " ASB127 ,Active Status Bit 127" "Not active,Active" bitfld.long 0x00 30. " ASB126 ,Active Status Bit 126" "Not active,Active" bitfld.long 0x00 29. " ASB125 ,Active Status Bit 125" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB124 ,Active Status Bit 124" "Not active,Active" bitfld.long 0x00 27. " ASB123 ,Active Status Bit 123" "Not active,Active" bitfld.long 0x00 26. " ASB122 ,Active Status Bit 122" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB121 ,Active Status Bit 121" "Not active,Active" bitfld.long 0x00 24. " ASB120 ,Active Status Bit 120" "Not active,Active" bitfld.long 0x00 23. " ASB119 ,Active Status Bit 119" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB118 ,Active Status Bit 118" "Not active,Active" bitfld.long 0x00 21. " ASB117 ,Active Status Bit 117" "Not active,Active" bitfld.long 0x00 20. " ASB116 ,Active Status Bit 116" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB115 ,Active Status Bit 115" "Not active,Active" bitfld.long 0x00 18. " ASB114 ,Active Status Bit 114" "Not active,Active" bitfld.long 0x00 17. " ASB113 ,Active Status Bit 113" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB112 ,Active Status Bit 112" "Not active,Active" bitfld.long 0x00 15. " ASB111 ,Active Status Bit 111" "Not active,Active" bitfld.long 0x00 14. " ASB110 ,Active Status Bit 110" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB109 ,Active Status Bit 109" "Not active,Active" bitfld.long 0x00 12. " ASB108 ,Active Status Bit 108" "Not active,Active" bitfld.long 0x00 11. " ASB107 ,Active Status Bit 107" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB106 ,Active Status Bit 106" "Not active,Active" bitfld.long 0x00 9. " ASB105 ,Active Status Bit 105" "Not active,Active" bitfld.long 0x00 8. " ASB104 ,Active Status Bit 104" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB103 ,Active Status Bit 103" "Not active,Active" bitfld.long 0x00 6. " ASB102 ,Active Status Bit 102" "Not active,Active" bitfld.long 0x00 5. " ASB101 ,Active Status Bit 101" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB100 ,Active Status Bit 100" "Not active,Active" bitfld.long 0x00 3. " ASB99 ,Active Status Bit 99" "Not active,Active" bitfld.long 0x00 2. " ASB98 ,Active Status Bit 98" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB97 ,Active Status Bit 97" "Not active,Active" bitfld.long 0x00 0. " ASB96 ,Active Status Bit 96" "Not active,Active" else rgroup.long 0x030C++0x03 line.long 0x0 "GICD_ICDABR3,Active Status Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) rgroup.long 0x0310++0x03 line.long 0x0 "GICD_ICDABR4,Active Status Register 4" bitfld.long 0x00 31. " ASB159 ,Active Status Bit 159" "Not active,Active" bitfld.long 0x00 30. " ASB158 ,Active Status Bit 158" "Not active,Active" bitfld.long 0x00 29. " ASB157 ,Active Status Bit 157" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB156 ,Active Status Bit 156" "Not active,Active" bitfld.long 0x00 27. " ASB155 ,Active Status Bit 155" "Not active,Active" bitfld.long 0x00 26. " ASB154 ,Active Status Bit 154" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB153 ,Active Status Bit 153" "Not active,Active" bitfld.long 0x00 24. " ASB152 ,Active Status Bit 152" "Not active,Active" bitfld.long 0x00 23. " ASB151 ,Active Status Bit 151" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB150 ,Active Status Bit 150" "Not active,Active" bitfld.long 0x00 21. " ASB149 ,Active Status Bit 149" "Not active,Active" bitfld.long 0x00 20. " ASB148 ,Active Status Bit 148" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB147 ,Active Status Bit 147" "Not active,Active" bitfld.long 0x00 18. " ASB146 ,Active Status Bit 146" "Not active,Active" bitfld.long 0x00 17. " ASB145 ,Active Status Bit 145" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB144 ,Active Status Bit 144" "Not active,Active" bitfld.long 0x00 15. " ASB143 ,Active Status Bit 143" "Not active,Active" bitfld.long 0x00 14. " ASB142 ,Active Status Bit 142" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB141 ,Active Status Bit 141" "Not active,Active" bitfld.long 0x00 12. " ASB140 ,Active Status Bit 140" "Not active,Active" bitfld.long 0x00 11. " ASB139 ,Active Status Bit 139" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB138 ,Active Status Bit 138" "Not active,Active" bitfld.long 0x00 9. " ASB137 ,Active Status Bit 137" "Not active,Active" bitfld.long 0x00 8. " ASB136 ,Active Status Bit 136" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB135 ,Active Status Bit 135" "Not active,Active" bitfld.long 0x00 6. " ASB134 ,Active Status Bit 134" "Not active,Active" bitfld.long 0x00 5. " ASB133 ,Active Status Bit 133" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB132 ,Active Status Bit 132" "Not active,Active" bitfld.long 0x00 3. " ASB131 ,Active Status Bit 131" "Not active,Active" bitfld.long 0x00 2. " ASB130 ,Active Status Bit 130" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB129 ,Active Status Bit 129" "Not active,Active" bitfld.long 0x00 0. " ASB128 ,Active Status Bit 128" "Not active,Active" else rgroup.long 0x0310++0x03 line.long 0x0 "GICD_ICDABR4,Active Status Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) rgroup.long 0x0314++0x03 line.long 0x0 "GICD_ICDABR5,Active Status Register 5" bitfld.long 0x00 31. " ASB191 ,Active Status Bit 191" "Not active,Active" bitfld.long 0x00 30. " ASB190 ,Active Status Bit 190" "Not active,Active" bitfld.long 0x00 29. " ASB189 ,Active Status Bit 189" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB188 ,Active Status Bit 188" "Not active,Active" bitfld.long 0x00 27. " ASB187 ,Active Status Bit 187" "Not active,Active" bitfld.long 0x00 26. " ASB186 ,Active Status Bit 186" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB185 ,Active Status Bit 185" "Not active,Active" bitfld.long 0x00 24. " ASB184 ,Active Status Bit 184" "Not active,Active" bitfld.long 0x00 23. " ASB183 ,Active Status Bit 183" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB182 ,Active Status Bit 182" "Not active,Active" bitfld.long 0x00 21. " ASB181 ,Active Status Bit 181" "Not active,Active" bitfld.long 0x00 20. " ASB180 ,Active Status Bit 180" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB179 ,Active Status Bit 179" "Not active,Active" bitfld.long 0x00 18. " ASB178 ,Active Status Bit 178" "Not active,Active" bitfld.long 0x00 17. " ASB177 ,Active Status Bit 177" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB176 ,Active Status Bit 176" "Not active,Active" bitfld.long 0x00 15. " ASB175 ,Active Status Bit 175" "Not active,Active" bitfld.long 0x00 14. " ASB174 ,Active Status Bit 174" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB173 ,Active Status Bit 173" "Not active,Active" bitfld.long 0x00 12. " ASB172 ,Active Status Bit 172" "Not active,Active" bitfld.long 0x00 11. " ASB171 ,Active Status Bit 171" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB170 ,Active Status Bit 170" "Not active,Active" bitfld.long 0x00 9. " ASB169 ,Active Status Bit 169" "Not active,Active" bitfld.long 0x00 8. " ASB168 ,Active Status Bit 168" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB167 ,Active Status Bit 167" "Not active,Active" bitfld.long 0x00 6. " ASB166 ,Active Status Bit 166" "Not active,Active" bitfld.long 0x00 5. " ASB165 ,Active Status Bit 165" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB164 ,Active Status Bit 164" "Not active,Active" bitfld.long 0x00 3. " ASB163 ,Active Status Bit 163" "Not active,Active" bitfld.long 0x00 2. " ASB162 ,Active Status Bit 162" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB161 ,Active Status Bit 161" "Not active,Active" bitfld.long 0x00 0. " ASB160 ,Active Status Bit 160" "Not active,Active" else rgroup.long 0x0314++0x03 line.long 0x0 "GICD_ICDABR5,Active Status Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) rgroup.long 0x0318++0x03 line.long 0x0 "GICD_ICDABR6,Active Status Register 6" bitfld.long 0x00 31. " ASB223 ,Active Status Bit 223" "Not active,Active" bitfld.long 0x00 30. " ASB222 ,Active Status Bit 222" "Not active,Active" bitfld.long 0x00 29. " ASB221 ,Active Status Bit 221" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB220 ,Active Status Bit 220" "Not active,Active" bitfld.long 0x00 27. " ASB219 ,Active Status Bit 219" "Not active,Active" bitfld.long 0x00 26. " ASB218 ,Active Status Bit 218" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB217 ,Active Status Bit 217" "Not active,Active" bitfld.long 0x00 24. " ASB216 ,Active Status Bit 216" "Not active,Active" bitfld.long 0x00 23. " ASB215 ,Active Status Bit 215" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB214 ,Active Status Bit 214" "Not active,Active" bitfld.long 0x00 21. " ASB213 ,Active Status Bit 213" "Not active,Active" bitfld.long 0x00 20. " ASB212 ,Active Status Bit 212" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB211 ,Active Status Bit 211" "Not active,Active" bitfld.long 0x00 18. " ASB210 ,Active Status Bit 210" "Not active,Active" bitfld.long 0x00 17. " ASB209 ,Active Status Bit 209" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB208 ,Active Status Bit 208" "Not active,Active" bitfld.long 0x00 15. " ASB207 ,Active Status Bit 207" "Not active,Active" bitfld.long 0x00 14. " ASB206 ,Active Status Bit 206" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB205 ,Active Status Bit 205" "Not active,Active" bitfld.long 0x00 12. " ASB204 ,Active Status Bit 204" "Not active,Active" bitfld.long 0x00 11. " ASB203 ,Active Status Bit 203" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB202 ,Active Status Bit 202" "Not active,Active" bitfld.long 0x00 9. " ASB201 ,Active Status Bit 201" "Not active,Active" bitfld.long 0x00 8. " ASB200 ,Active Status Bit 200" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB199 ,Active Status Bit 199" "Not active,Active" bitfld.long 0x00 6. " ASB198 ,Active Status Bit 198" "Not active,Active" bitfld.long 0x00 5. " ASB197 ,Active Status Bit 197" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB196 ,Active Status Bit 196" "Not active,Active" bitfld.long 0x00 3. " ASB195 ,Active Status Bit 195" "Not active,Active" bitfld.long 0x00 2. " ASB194 ,Active Status Bit 194" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB193 ,Active Status Bit 193" "Not active,Active" bitfld.long 0x00 0. " ASB192 ,Active Status Bit 192" "Not active,Active" else rgroup.long 0x0318++0x03 line.long 0x0 "GICD_ICDABR6,Active Status Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) rgroup.long 0x031C++0x03 line.long 0x0 "GICD_ICDABR7,Active Status Register 7" bitfld.long 0x00 31. " ASB255 ,Active Status Bit 255" "Not active,Active" bitfld.long 0x00 30. " ASB254 ,Active Status Bit 254" "Not active,Active" bitfld.long 0x00 29. " ASB253 ,Active Status Bit 253" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB252 ,Active Status Bit 252" "Not active,Active" bitfld.long 0x00 27. " ASB251 ,Active Status Bit 251" "Not active,Active" bitfld.long 0x00 26. " ASB250 ,Active Status Bit 250" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB249 ,Active Status Bit 249" "Not active,Active" bitfld.long 0x00 24. " ASB248 ,Active Status Bit 248" "Not active,Active" bitfld.long 0x00 23. " ASB247 ,Active Status Bit 247" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB246 ,Active Status Bit 246" "Not active,Active" bitfld.long 0x00 21. " ASB245 ,Active Status Bit 245" "Not active,Active" bitfld.long 0x00 20. " ASB244 ,Active Status Bit 244" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB243 ,Active Status Bit 243" "Not active,Active" bitfld.long 0x00 18. " ASB242 ,Active Status Bit 242" "Not active,Active" bitfld.long 0x00 17. " ASB241 ,Active Status Bit 241" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB240 ,Active Status Bit 240" "Not active,Active" bitfld.long 0x00 15. " ASB239 ,Active Status Bit 239" "Not active,Active" bitfld.long 0x00 14. " ASB238 ,Active Status Bit 238" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB237 ,Active Status Bit 237" "Not active,Active" bitfld.long 0x00 12. " ASB236 ,Active Status Bit 236" "Not active,Active" bitfld.long 0x00 11. " ASB235 ,Active Status Bit 235" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB234 ,Active Status Bit 234" "Not active,Active" bitfld.long 0x00 9. " ASB233 ,Active Status Bit 233" "Not active,Active" bitfld.long 0x00 8. " ASB232 ,Active Status Bit 232" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB231 ,Active Status Bit 231" "Not active,Active" bitfld.long 0x00 6. " ASB230 ,Active Status Bit 230" "Not active,Active" bitfld.long 0x00 5. " ASB229 ,Active Status Bit 229" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB228 ,Active Status Bit 228" "Not active,Active" bitfld.long 0x00 3. " ASB227 ,Active Status Bit 227" "Not active,Active" bitfld.long 0x00 2. " ASB226 ,Active Status Bit 226" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB225 ,Active Status Bit 225" "Not active,Active" bitfld.long 0x00 0. " ASB224 ,Active Status Bit 224" "Not active,Active" else rgroup.long 0x031C++0x03 line.long 0x0 "GICD_ICDABR7,Active Status Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) rgroup.long 0x0320++0x03 line.long 0x0 "GICD_ICDABR8,Active Status Register 8" bitfld.long 0x00 31. " ASB287 ,Active Status Bit 287" "Not active,Active" bitfld.long 0x00 30. " ASB286 ,Active Status Bit 286" "Not active,Active" bitfld.long 0x00 29. " ASB285 ,Active Status Bit 285" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB284 ,Active Status Bit 284" "Not active,Active" bitfld.long 0x00 27. " ASB283 ,Active Status Bit 283" "Not active,Active" bitfld.long 0x00 26. " ASB282 ,Active Status Bit 282" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB281 ,Active Status Bit 281" "Not active,Active" bitfld.long 0x00 24. " ASB280 ,Active Status Bit 280" "Not active,Active" bitfld.long 0x00 23. " ASB279 ,Active Status Bit 279" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB278 ,Active Status Bit 278" "Not active,Active" bitfld.long 0x00 21. " ASB277 ,Active Status Bit 277" "Not active,Active" bitfld.long 0x00 20. " ASB276 ,Active Status Bit 276" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB275 ,Active Status Bit 275" "Not active,Active" bitfld.long 0x00 18. " ASB274 ,Active Status Bit 274" "Not active,Active" bitfld.long 0x00 17. " ASB273 ,Active Status Bit 273" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB272 ,Active Status Bit 272" "Not active,Active" bitfld.long 0x00 15. " ASB271 ,Active Status Bit 271" "Not active,Active" bitfld.long 0x00 14. " ASB270 ,Active Status Bit 270" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB269 ,Active Status Bit 269" "Not active,Active" bitfld.long 0x00 12. " ASB268 ,Active Status Bit 268" "Not active,Active" bitfld.long 0x00 11. " ASB267 ,Active Status Bit 267" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB266 ,Active Status Bit 266" "Not active,Active" bitfld.long 0x00 9. " ASB265 ,Active Status Bit 265" "Not active,Active" bitfld.long 0x00 8. " ASB264 ,Active Status Bit 264" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB263 ,Active Status Bit 263" "Not active,Active" bitfld.long 0x00 6. " ASB262 ,Active Status Bit 262" "Not active,Active" bitfld.long 0x00 5. " ASB261 ,Active Status Bit 261" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB260 ,Active Status Bit 260" "Not active,Active" bitfld.long 0x00 3. " ASB259 ,Active Status Bit 259" "Not active,Active" bitfld.long 0x00 2. " ASB258 ,Active Status Bit 258" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB257 ,Active Status Bit 257" "Not active,Active" bitfld.long 0x00 0. " ASB256 ,Active Status Bit 256" "Not active,Active" else rgroup.long 0x0320++0x03 line.long 0x0 "GICD_ICDABR8,Active Status Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) rgroup.long 0x0324++0x03 line.long 0x0 "GICD_ICDABR9,Active Status Register 9" bitfld.long 0x00 31. " ASB319 ,Active Status Bit 319" "Not active,Active" bitfld.long 0x00 30. " ASB318 ,Active Status Bit 318" "Not active,Active" bitfld.long 0x00 29. " ASB317 ,Active Status Bit 317" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB316 ,Active Status Bit 316" "Not active,Active" bitfld.long 0x00 27. " ASB315 ,Active Status Bit 315" "Not active,Active" bitfld.long 0x00 26. " ASB314 ,Active Status Bit 314" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB313 ,Active Status Bit 313" "Not active,Active" bitfld.long 0x00 24. " ASB312 ,Active Status Bit 312" "Not active,Active" bitfld.long 0x00 23. " ASB311 ,Active Status Bit 311" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB310 ,Active Status Bit 310" "Not active,Active" bitfld.long 0x00 21. " ASB309 ,Active Status Bit 309" "Not active,Active" bitfld.long 0x00 20. " ASB308 ,Active Status Bit 308" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB307 ,Active Status Bit 307" "Not active,Active" bitfld.long 0x00 18. " ASB306 ,Active Status Bit 306" "Not active,Active" bitfld.long 0x00 17. " ASB305 ,Active Status Bit 305" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB304 ,Active Status Bit 304" "Not active,Active" bitfld.long 0x00 15. " ASB303 ,Active Status Bit 303" "Not active,Active" bitfld.long 0x00 14. " ASB302 ,Active Status Bit 302" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB301 ,Active Status Bit 301" "Not active,Active" bitfld.long 0x00 12. " ASB300 ,Active Status Bit 300" "Not active,Active" bitfld.long 0x00 11. " ASB299 ,Active Status Bit 299" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB298 ,Active Status Bit 298" "Not active,Active" bitfld.long 0x00 9. " ASB297 ,Active Status Bit 297" "Not active,Active" bitfld.long 0x00 8. " ASB296 ,Active Status Bit 296" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB295 ,Active Status Bit 295" "Not active,Active" bitfld.long 0x00 6. " ASB294 ,Active Status Bit 294" "Not active,Active" bitfld.long 0x00 5. " ASB293 ,Active Status Bit 293" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB292 ,Active Status Bit 292" "Not active,Active" bitfld.long 0x00 3. " ASB291 ,Active Status Bit 291" "Not active,Active" bitfld.long 0x00 2. " ASB290 ,Active Status Bit 290" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB289 ,Active Status Bit 289" "Not active,Active" bitfld.long 0x00 0. " ASB288 ,Active Status Bit 288" "Not active,Active" else rgroup.long 0x0324++0x03 line.long 0x0 "GICD_ICDABR9,Active Status Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) rgroup.long 0x0328++0x03 line.long 0x0 "GICD_ICDABR10,Active Status Register 10" bitfld.long 0x00 31. " ASB351 ,Active Status Bit 351" "Not active,Active" bitfld.long 0x00 30. " ASB350 ,Active Status Bit 350" "Not active,Active" bitfld.long 0x00 29. " ASB349 ,Active Status Bit 349" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB348 ,Active Status Bit 348" "Not active,Active" bitfld.long 0x00 27. " ASB347 ,Active Status Bit 347" "Not active,Active" bitfld.long 0x00 26. " ASB346 ,Active Status Bit 346" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB345 ,Active Status Bit 345" "Not active,Active" bitfld.long 0x00 24. " ASB344 ,Active Status Bit 344" "Not active,Active" bitfld.long 0x00 23. " ASB343 ,Active Status Bit 343" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB342 ,Active Status Bit 342" "Not active,Active" bitfld.long 0x00 21. " ASB341 ,Active Status Bit 341" "Not active,Active" bitfld.long 0x00 20. " ASB340 ,Active Status Bit 340" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB339 ,Active Status Bit 339" "Not active,Active" bitfld.long 0x00 18. " ASB338 ,Active Status Bit 338" "Not active,Active" bitfld.long 0x00 17. " ASB337 ,Active Status Bit 337" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB336 ,Active Status Bit 336" "Not active,Active" bitfld.long 0x00 15. " ASB335 ,Active Status Bit 335" "Not active,Active" bitfld.long 0x00 14. " ASB334 ,Active Status Bit 334" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB333 ,Active Status Bit 333" "Not active,Active" bitfld.long 0x00 12. " ASB332 ,Active Status Bit 332" "Not active,Active" bitfld.long 0x00 11. " ASB331 ,Active Status Bit 331" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB330 ,Active Status Bit 330" "Not active,Active" bitfld.long 0x00 9. " ASB329 ,Active Status Bit 329" "Not active,Active" bitfld.long 0x00 8. " ASB328 ,Active Status Bit 328" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB327 ,Active Status Bit 327" "Not active,Active" bitfld.long 0x00 6. " ASB326 ,Active Status Bit 326" "Not active,Active" bitfld.long 0x00 5. " ASB325 ,Active Status Bit 325" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB324 ,Active Status Bit 324" "Not active,Active" bitfld.long 0x00 3. " ASB323 ,Active Status Bit 323" "Not active,Active" bitfld.long 0x00 2. " ASB322 ,Active Status Bit 322" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB321 ,Active Status Bit 321" "Not active,Active" bitfld.long 0x00 0. " ASB320 ,Active Status Bit 320" "Not active,Active" else rgroup.long 0x0328++0x03 line.long 0x0 "GICD_ICDABR10,Active Status Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) rgroup.long 0x032C++0x03 line.long 0x0 "GICD_ICDABR11,Active Status Register 11" bitfld.long 0x00 31. " ASB383 ,Active Status Bit 383" "Not active,Active" bitfld.long 0x00 30. " ASB382 ,Active Status Bit 382" "Not active,Active" bitfld.long 0x00 29. " ASB381 ,Active Status Bit 381" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB380 ,Active Status Bit 380" "Not active,Active" bitfld.long 0x00 27. " ASB379 ,Active Status Bit 379" "Not active,Active" bitfld.long 0x00 26. " ASB378 ,Active Status Bit 378" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB377 ,Active Status Bit 377" "Not active,Active" bitfld.long 0x00 24. " ASB376 ,Active Status Bit 376" "Not active,Active" bitfld.long 0x00 23. " ASB375 ,Active Status Bit 375" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB374 ,Active Status Bit 374" "Not active,Active" bitfld.long 0x00 21. " ASB373 ,Active Status Bit 373" "Not active,Active" bitfld.long 0x00 20. " ASB372 ,Active Status Bit 372" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB371 ,Active Status Bit 371" "Not active,Active" bitfld.long 0x00 18. " ASB370 ,Active Status Bit 370" "Not active,Active" bitfld.long 0x00 17. " ASB369 ,Active Status Bit 369" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB368 ,Active Status Bit 368" "Not active,Active" bitfld.long 0x00 15. " ASB367 ,Active Status Bit 367" "Not active,Active" bitfld.long 0x00 14. " ASB366 ,Active Status Bit 366" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB365 ,Active Status Bit 365" "Not active,Active" bitfld.long 0x00 12. " ASB364 ,Active Status Bit 364" "Not active,Active" bitfld.long 0x00 11. " ASB363 ,Active Status Bit 363" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB362 ,Active Status Bit 362" "Not active,Active" bitfld.long 0x00 9. " ASB361 ,Active Status Bit 361" "Not active,Active" bitfld.long 0x00 8. " ASB360 ,Active Status Bit 360" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB359 ,Active Status Bit 359" "Not active,Active" bitfld.long 0x00 6. " ASB358 ,Active Status Bit 358" "Not active,Active" bitfld.long 0x00 5. " ASB357 ,Active Status Bit 357" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB356 ,Active Status Bit 356" "Not active,Active" bitfld.long 0x00 3. " ASB355 ,Active Status Bit 355" "Not active,Active" bitfld.long 0x00 2. " ASB354 ,Active Status Bit 354" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB353 ,Active Status Bit 353" "Not active,Active" bitfld.long 0x00 0. " ASB352 ,Active Status Bit 352" "Not active,Active" else rgroup.long 0x032C++0x03 line.long 0x0 "GICD_ICDABR11,Active Status Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) rgroup.long 0x0330++0x03 line.long 0x0 "GICD_ICDABR12,Active Status Register 12" bitfld.long 0x00 31. " ASB415 ,Active Status Bit 415" "Not active,Active" bitfld.long 0x00 30. " ASB414 ,Active Status Bit 414" "Not active,Active" bitfld.long 0x00 29. " ASB413 ,Active Status Bit 413" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB412 ,Active Status Bit 412" "Not active,Active" bitfld.long 0x00 27. " ASB411 ,Active Status Bit 411" "Not active,Active" bitfld.long 0x00 26. " ASB410 ,Active Status Bit 410" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB409 ,Active Status Bit 409" "Not active,Active" bitfld.long 0x00 24. " ASB408 ,Active Status Bit 408" "Not active,Active" bitfld.long 0x00 23. " ASB407 ,Active Status Bit 407" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB406 ,Active Status Bit 406" "Not active,Active" bitfld.long 0x00 21. " ASB405 ,Active Status Bit 405" "Not active,Active" bitfld.long 0x00 20. " ASB404 ,Active Status Bit 404" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB403 ,Active Status Bit 403" "Not active,Active" bitfld.long 0x00 18. " ASB402 ,Active Status Bit 402" "Not active,Active" bitfld.long 0x00 17. " ASB401 ,Active Status Bit 401" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB400 ,Active Status Bit 400" "Not active,Active" bitfld.long 0x00 15. " ASB399 ,Active Status Bit 399" "Not active,Active" bitfld.long 0x00 14. " ASB398 ,Active Status Bit 398" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB397 ,Active Status Bit 397" "Not active,Active" bitfld.long 0x00 12. " ASB396 ,Active Status Bit 396" "Not active,Active" bitfld.long 0x00 11. " ASB395 ,Active Status Bit 395" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB394 ,Active Status Bit 394" "Not active,Active" bitfld.long 0x00 9. " ASB393 ,Active Status Bit 393" "Not active,Active" bitfld.long 0x00 8. " ASB392 ,Active Status Bit 392" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB391 ,Active Status Bit 391" "Not active,Active" bitfld.long 0x00 6. " ASB390 ,Active Status Bit 390" "Not active,Active" bitfld.long 0x00 5. " ASB389 ,Active Status Bit 389" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB388 ,Active Status Bit 388" "Not active,Active" bitfld.long 0x00 3. " ASB387 ,Active Status Bit 387" "Not active,Active" bitfld.long 0x00 2. " ASB386 ,Active Status Bit 386" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB385 ,Active Status Bit 385" "Not active,Active" bitfld.long 0x00 0. " ASB384 ,Active Status Bit 384" "Not active,Active" else rgroup.long 0x0330++0x03 line.long 0x0 "GICD_ICDABR12,Active Status Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) rgroup.long 0x0334++0x03 line.long 0x0 "GICD_ICDABR13,Active Status Register 13" bitfld.long 0x00 31. " ASB447 ,Active Status Bit 447" "Not active,Active" bitfld.long 0x00 30. " ASB446 ,Active Status Bit 446" "Not active,Active" bitfld.long 0x00 29. " ASB445 ,Active Status Bit 445" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB444 ,Active Status Bit 444" "Not active,Active" bitfld.long 0x00 27. " ASB443 ,Active Status Bit 443" "Not active,Active" bitfld.long 0x00 26. " ASB442 ,Active Status Bit 442" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB441 ,Active Status Bit 441" "Not active,Active" bitfld.long 0x00 24. " ASB440 ,Active Status Bit 440" "Not active,Active" bitfld.long 0x00 23. " ASB439 ,Active Status Bit 439" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB438 ,Active Status Bit 438" "Not active,Active" bitfld.long 0x00 21. " ASB437 ,Active Status Bit 437" "Not active,Active" bitfld.long 0x00 20. " ASB436 ,Active Status Bit 436" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB435 ,Active Status Bit 435" "Not active,Active" bitfld.long 0x00 18. " ASB434 ,Active Status Bit 434" "Not active,Active" bitfld.long 0x00 17. " ASB433 ,Active Status Bit 433" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB432 ,Active Status Bit 432" "Not active,Active" bitfld.long 0x00 15. " ASB431 ,Active Status Bit 431" "Not active,Active" bitfld.long 0x00 14. " ASB430 ,Active Status Bit 430" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB429 ,Active Status Bit 429" "Not active,Active" bitfld.long 0x00 12. " ASB428 ,Active Status Bit 428" "Not active,Active" bitfld.long 0x00 11. " ASB427 ,Active Status Bit 427" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB426 ,Active Status Bit 426" "Not active,Active" bitfld.long 0x00 9. " ASB425 ,Active Status Bit 425" "Not active,Active" bitfld.long 0x00 8. " ASB424 ,Active Status Bit 424" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB423 ,Active Status Bit 423" "Not active,Active" bitfld.long 0x00 6. " ASB422 ,Active Status Bit 422" "Not active,Active" bitfld.long 0x00 5. " ASB421 ,Active Status Bit 421" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB420 ,Active Status Bit 420" "Not active,Active" bitfld.long 0x00 3. " ASB419 ,Active Status Bit 419" "Not active,Active" bitfld.long 0x00 2. " ASB418 ,Active Status Bit 418" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB417 ,Active Status Bit 417" "Not active,Active" bitfld.long 0x00 0. " ASB416 ,Active Status Bit 416" "Not active,Active" else rgroup.long 0x0334++0x03 line.long 0x0 "GICD_ICDABR13,Active Status Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) rgroup.long 0x0338++0x03 line.long 0x0 "GICD_ICDABR14,Active Status Register 14" bitfld.long 0x00 31. " ASB479 ,Active Status Bit 479" "Not active,Active" bitfld.long 0x00 30. " ASB478 ,Active Status Bit 478" "Not active,Active" bitfld.long 0x00 29. " ASB477 ,Active Status Bit 477" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB476 ,Active Status Bit 476" "Not active,Active" bitfld.long 0x00 27. " ASB475 ,Active Status Bit 475" "Not active,Active" bitfld.long 0x00 26. " ASB474 ,Active Status Bit 474" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB473 ,Active Status Bit 473" "Not active,Active" bitfld.long 0x00 24. " ASB472 ,Active Status Bit 472" "Not active,Active" bitfld.long 0x00 23. " ASB471 ,Active Status Bit 471" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB470 ,Active Status Bit 470" "Not active,Active" bitfld.long 0x00 21. " ASB469 ,Active Status Bit 469" "Not active,Active" bitfld.long 0x00 20. " ASB468 ,Active Status Bit 468" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB467 ,Active Status Bit 467" "Not active,Active" bitfld.long 0x00 18. " ASB466 ,Active Status Bit 466" "Not active,Active" bitfld.long 0x00 17. " ASB465 ,Active Status Bit 465" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB464 ,Active Status Bit 464" "Not active,Active" bitfld.long 0x00 15. " ASB463 ,Active Status Bit 463" "Not active,Active" bitfld.long 0x00 14. " ASB462 ,Active Status Bit 462" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB461 ,Active Status Bit 461" "Not active,Active" bitfld.long 0x00 12. " ASB460 ,Active Status Bit 460" "Not active,Active" bitfld.long 0x00 11. " ASB459 ,Active Status Bit 459" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB458 ,Active Status Bit 458" "Not active,Active" bitfld.long 0x00 9. " ASB457 ,Active Status Bit 457" "Not active,Active" bitfld.long 0x00 8. " ASB456 ,Active Status Bit 456" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB455 ,Active Status Bit 455" "Not active,Active" bitfld.long 0x00 6. " ASB454 ,Active Status Bit 454" "Not active,Active" bitfld.long 0x00 5. " ASB453 ,Active Status Bit 453" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB452 ,Active Status Bit 452" "Not active,Active" bitfld.long 0x00 3. " ASB451 ,Active Status Bit 451" "Not active,Active" bitfld.long 0x00 2. " ASB450 ,Active Status Bit 450" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB449 ,Active Status Bit 449" "Not active,Active" bitfld.long 0x00 0. " ASB448 ,Active Status Bit 448" "Not active,Active" else rgroup.long 0x0338++0x03 line.long 0x0 "GICD_ICDABR14,Active Status Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) rgroup.long 0x033C++0x03 line.long 0x0 "GICD_ICDABR15,Active Status Register 15" bitfld.long 0x00 31. " ASB511 ,Active Status Bit 511" "Not active,Active" bitfld.long 0x00 30. " ASB510 ,Active Status Bit 510" "Not active,Active" bitfld.long 0x00 29. " ASB509 ,Active Status Bit 509" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB508 ,Active Status Bit 508" "Not active,Active" bitfld.long 0x00 27. " ASB507 ,Active Status Bit 507" "Not active,Active" bitfld.long 0x00 26. " ASB506 ,Active Status Bit 506" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB505 ,Active Status Bit 505" "Not active,Active" bitfld.long 0x00 24. " ASB504 ,Active Status Bit 504" "Not active,Active" bitfld.long 0x00 23. " ASB503 ,Active Status Bit 503" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB502 ,Active Status Bit 502" "Not active,Active" bitfld.long 0x00 21. " ASB501 ,Active Status Bit 501" "Not active,Active" bitfld.long 0x00 20. " ASB500 ,Active Status Bit 500" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB499 ,Active Status Bit 499" "Not active,Active" bitfld.long 0x00 18. " ASB498 ,Active Status Bit 498" "Not active,Active" bitfld.long 0x00 17. " ASB497 ,Active Status Bit 497" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB496 ,Active Status Bit 496" "Not active,Active" bitfld.long 0x00 15. " ASB495 ,Active Status Bit 495" "Not active,Active" bitfld.long 0x00 14. " ASB494 ,Active Status Bit 494" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB493 ,Active Status Bit 493" "Not active,Active" bitfld.long 0x00 12. " ASB492 ,Active Status Bit 492" "Not active,Active" bitfld.long 0x00 11. " ASB491 ,Active Status Bit 491" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB490 ,Active Status Bit 490" "Not active,Active" bitfld.long 0x00 9. " ASB489 ,Active Status Bit 489" "Not active,Active" bitfld.long 0x00 8. " ASB488 ,Active Status Bit 488" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB487 ,Active Status Bit 487" "Not active,Active" bitfld.long 0x00 6. " ASB486 ,Active Status Bit 486" "Not active,Active" bitfld.long 0x00 5. " ASB485 ,Active Status Bit 485" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB484 ,Active Status Bit 484" "Not active,Active" bitfld.long 0x00 3. " ASB483 ,Active Status Bit 483" "Not active,Active" bitfld.long 0x00 2. " ASB482 ,Active Status Bit 482" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB481 ,Active Status Bit 481" "Not active,Active" bitfld.long 0x00 0. " ASB480 ,Active Status Bit 480" "Not active,Active" else rgroup.long 0x033C++0x03 line.long 0x0 "GICD_ICDABR15,Active Status Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) rgroup.long 0x0340++0x03 line.long 0x0 "GICD_ICDABR16,Active Status Register 16" bitfld.long 0x00 31. " ASB543 ,Active Status Bit 543" "Not active,Active" bitfld.long 0x00 30. " ASB542 ,Active Status Bit 542" "Not active,Active" bitfld.long 0x00 29. " ASB541 ,Active Status Bit 541" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB540 ,Active Status Bit 540" "Not active,Active" bitfld.long 0x00 27. " ASB539 ,Active Status Bit 539" "Not active,Active" bitfld.long 0x00 26. " ASB538 ,Active Status Bit 538" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB537 ,Active Status Bit 537" "Not active,Active" bitfld.long 0x00 24. " ASB536 ,Active Status Bit 536" "Not active,Active" bitfld.long 0x00 23. " ASB535 ,Active Status Bit 535" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB534 ,Active Status Bit 534" "Not active,Active" bitfld.long 0x00 21. " ASB533 ,Active Status Bit 533" "Not active,Active" bitfld.long 0x00 20. " ASB532 ,Active Status Bit 532" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB531 ,Active Status Bit 531" "Not active,Active" bitfld.long 0x00 18. " ASB530 ,Active Status Bit 530" "Not active,Active" bitfld.long 0x00 17. " ASB529 ,Active Status Bit 529" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB528 ,Active Status Bit 528" "Not active,Active" bitfld.long 0x00 15. " ASB527 ,Active Status Bit 527" "Not active,Active" bitfld.long 0x00 14. " ASB526 ,Active Status Bit 526" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB525 ,Active Status Bit 525" "Not active,Active" bitfld.long 0x00 12. " ASB524 ,Active Status Bit 524" "Not active,Active" bitfld.long 0x00 11. " ASB523 ,Active Status Bit 523" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB522 ,Active Status Bit 522" "Not active,Active" bitfld.long 0x00 9. " ASB521 ,Active Status Bit 521" "Not active,Active" bitfld.long 0x00 8. " ASB520 ,Active Status Bit 520" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB519 ,Active Status Bit 519" "Not active,Active" bitfld.long 0x00 6. " ASB518 ,Active Status Bit 518" "Not active,Active" bitfld.long 0x00 5. " ASB517 ,Active Status Bit 517" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB516 ,Active Status Bit 516" "Not active,Active" bitfld.long 0x00 3. " ASB515 ,Active Status Bit 515" "Not active,Active" bitfld.long 0x00 2. " ASB514 ,Active Status Bit 514" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB513 ,Active Status Bit 513" "Not active,Active" bitfld.long 0x00 0. " ASB512 ,Active Status Bit 512" "Not active,Active" else rgroup.long 0x0340++0x03 line.long 0x0 "GICD_ICDABR16,Active Status Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) rgroup.long 0x0344++0x03 line.long 0x0 "GICD_ICDABR17,Active Status Register 17" bitfld.long 0x00 31. " ASB575 ,Active Status Bit 575" "Not active,Active" bitfld.long 0x00 30. " ASB574 ,Active Status Bit 574" "Not active,Active" bitfld.long 0x00 29. " ASB573 ,Active Status Bit 573" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB572 ,Active Status Bit 572" "Not active,Active" bitfld.long 0x00 27. " ASB571 ,Active Status Bit 571" "Not active,Active" bitfld.long 0x00 26. " ASB570 ,Active Status Bit 570" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB569 ,Active Status Bit 569" "Not active,Active" bitfld.long 0x00 24. " ASB568 ,Active Status Bit 568" "Not active,Active" bitfld.long 0x00 23. " ASB567 ,Active Status Bit 567" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB566 ,Active Status Bit 566" "Not active,Active" bitfld.long 0x00 21. " ASB565 ,Active Status Bit 565" "Not active,Active" bitfld.long 0x00 20. " ASB564 ,Active Status Bit 564" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB563 ,Active Status Bit 563" "Not active,Active" bitfld.long 0x00 18. " ASB562 ,Active Status Bit 562" "Not active,Active" bitfld.long 0x00 17. " ASB561 ,Active Status Bit 561" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB560 ,Active Status Bit 560" "Not active,Active" bitfld.long 0x00 15. " ASB559 ,Active Status Bit 559" "Not active,Active" bitfld.long 0x00 14. " ASB558 ,Active Status Bit 558" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB557 ,Active Status Bit 557" "Not active,Active" bitfld.long 0x00 12. " ASB556 ,Active Status Bit 556" "Not active,Active" bitfld.long 0x00 11. " ASB555 ,Active Status Bit 555" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB554 ,Active Status Bit 554" "Not active,Active" bitfld.long 0x00 9. " ASB553 ,Active Status Bit 553" "Not active,Active" bitfld.long 0x00 8. " ASB552 ,Active Status Bit 552" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB551 ,Active Status Bit 551" "Not active,Active" bitfld.long 0x00 6. " ASB550 ,Active Status Bit 550" "Not active,Active" bitfld.long 0x00 5. " ASB549 ,Active Status Bit 549" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB548 ,Active Status Bit 548" "Not active,Active" bitfld.long 0x00 3. " ASB547 ,Active Status Bit 547" "Not active,Active" bitfld.long 0x00 2. " ASB546 ,Active Status Bit 546" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB545 ,Active Status Bit 545" "Not active,Active" bitfld.long 0x00 0. " ASB544 ,Active Status Bit 544" "Not active,Active" else rgroup.long 0x0344++0x03 line.long 0x0 "GICD_ICDABR17,Active Status Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) rgroup.long 0x0348++0x03 line.long 0x0 "GICD_ICDABR18,Active Status Register 18" bitfld.long 0x00 31. " ASB607 ,Active Status Bit 607" "Not active,Active" bitfld.long 0x00 30. " ASB606 ,Active Status Bit 606" "Not active,Active" bitfld.long 0x00 29. " ASB605 ,Active Status Bit 605" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB604 ,Active Status Bit 604" "Not active,Active" bitfld.long 0x00 27. " ASB603 ,Active Status Bit 603" "Not active,Active" bitfld.long 0x00 26. " ASB602 ,Active Status Bit 602" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB601 ,Active Status Bit 601" "Not active,Active" bitfld.long 0x00 24. " ASB600 ,Active Status Bit 600" "Not active,Active" bitfld.long 0x00 23. " ASB599 ,Active Status Bit 599" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB598 ,Active Status Bit 598" "Not active,Active" bitfld.long 0x00 21. " ASB597 ,Active Status Bit 597" "Not active,Active" bitfld.long 0x00 20. " ASB596 ,Active Status Bit 596" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB595 ,Active Status Bit 595" "Not active,Active" bitfld.long 0x00 18. " ASB594 ,Active Status Bit 594" "Not active,Active" bitfld.long 0x00 17. " ASB593 ,Active Status Bit 593" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB592 ,Active Status Bit 592" "Not active,Active" bitfld.long 0x00 15. " ASB591 ,Active Status Bit 591" "Not active,Active" bitfld.long 0x00 14. " ASB590 ,Active Status Bit 590" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB589 ,Active Status Bit 589" "Not active,Active" bitfld.long 0x00 12. " ASB588 ,Active Status Bit 588" "Not active,Active" bitfld.long 0x00 11. " ASB587 ,Active Status Bit 587" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB586 ,Active Status Bit 586" "Not active,Active" bitfld.long 0x00 9. " ASB585 ,Active Status Bit 585" "Not active,Active" bitfld.long 0x00 8. " ASB584 ,Active Status Bit 584" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB583 ,Active Status Bit 583" "Not active,Active" bitfld.long 0x00 6. " ASB582 ,Active Status Bit 582" "Not active,Active" bitfld.long 0x00 5. " ASB581 ,Active Status Bit 581" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB580 ,Active Status Bit 580" "Not active,Active" bitfld.long 0x00 3. " ASB579 ,Active Status Bit 579" "Not active,Active" bitfld.long 0x00 2. " ASB578 ,Active Status Bit 578" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB577 ,Active Status Bit 577" "Not active,Active" bitfld.long 0x00 0. " ASB576 ,Active Status Bit 576" "Not active,Active" else rgroup.long 0x0348++0x03 line.long 0x0 "GICD_ICDABR18,Active Status Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) rgroup.long 0x034C++0x03 line.long 0x0 "GICD_ICDABR19,Active Status Register 19" bitfld.long 0x00 31. " ASB639 ,Active Status Bit 639" "Not active,Active" bitfld.long 0x00 30. " ASB638 ,Active Status Bit 638" "Not active,Active" bitfld.long 0x00 29. " ASB637 ,Active Status Bit 637" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB636 ,Active Status Bit 636" "Not active,Active" bitfld.long 0x00 27. " ASB635 ,Active Status Bit 635" "Not active,Active" bitfld.long 0x00 26. " ASB634 ,Active Status Bit 634" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB633 ,Active Status Bit 633" "Not active,Active" bitfld.long 0x00 24. " ASB632 ,Active Status Bit 632" "Not active,Active" bitfld.long 0x00 23. " ASB631 ,Active Status Bit 631" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB630 ,Active Status Bit 630" "Not active,Active" bitfld.long 0x00 21. " ASB629 ,Active Status Bit 629" "Not active,Active" bitfld.long 0x00 20. " ASB628 ,Active Status Bit 628" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB627 ,Active Status Bit 627" "Not active,Active" bitfld.long 0x00 18. " ASB626 ,Active Status Bit 626" "Not active,Active" bitfld.long 0x00 17. " ASB625 ,Active Status Bit 625" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB624 ,Active Status Bit 624" "Not active,Active" bitfld.long 0x00 15. " ASB623 ,Active Status Bit 623" "Not active,Active" bitfld.long 0x00 14. " ASB622 ,Active Status Bit 622" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB621 ,Active Status Bit 621" "Not active,Active" bitfld.long 0x00 12. " ASB620 ,Active Status Bit 620" "Not active,Active" bitfld.long 0x00 11. " ASB619 ,Active Status Bit 619" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB618 ,Active Status Bit 618" "Not active,Active" bitfld.long 0x00 9. " ASB617 ,Active Status Bit 617" "Not active,Active" bitfld.long 0x00 8. " ASB616 ,Active Status Bit 616" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB615 ,Active Status Bit 615" "Not active,Active" bitfld.long 0x00 6. " ASB614 ,Active Status Bit 614" "Not active,Active" bitfld.long 0x00 5. " ASB613 ,Active Status Bit 613" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB612 ,Active Status Bit 612" "Not active,Active" bitfld.long 0x00 3. " ASB611 ,Active Status Bit 611" "Not active,Active" bitfld.long 0x00 2. " ASB610 ,Active Status Bit 610" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB609 ,Active Status Bit 609" "Not active,Active" bitfld.long 0x00 0. " ASB608 ,Active Status Bit 608" "Not active,Active" else rgroup.long 0x034C++0x03 line.long 0x0 "GICD_ICDABR19,Active Status Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) rgroup.long 0x0350++0x03 line.long 0x0 "GICD_ICDABR20,Active Status Register 20" bitfld.long 0x00 31. " ASB671 ,Active Status Bit 671" "Not active,Active" bitfld.long 0x00 30. " ASB670 ,Active Status Bit 670" "Not active,Active" bitfld.long 0x00 29. " ASB669 ,Active Status Bit 669" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB668 ,Active Status Bit 668" "Not active,Active" bitfld.long 0x00 27. " ASB667 ,Active Status Bit 667" "Not active,Active" bitfld.long 0x00 26. " ASB666 ,Active Status Bit 666" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB665 ,Active Status Bit 665" "Not active,Active" bitfld.long 0x00 24. " ASB664 ,Active Status Bit 664" "Not active,Active" bitfld.long 0x00 23. " ASB663 ,Active Status Bit 663" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB662 ,Active Status Bit 662" "Not active,Active" bitfld.long 0x00 21. " ASB661 ,Active Status Bit 661" "Not active,Active" bitfld.long 0x00 20. " ASB660 ,Active Status Bit 660" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB659 ,Active Status Bit 659" "Not active,Active" bitfld.long 0x00 18. " ASB658 ,Active Status Bit 658" "Not active,Active" bitfld.long 0x00 17. " ASB657 ,Active Status Bit 657" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB656 ,Active Status Bit 656" "Not active,Active" bitfld.long 0x00 15. " ASB655 ,Active Status Bit 655" "Not active,Active" bitfld.long 0x00 14. " ASB654 ,Active Status Bit 654" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB653 ,Active Status Bit 653" "Not active,Active" bitfld.long 0x00 12. " ASB652 ,Active Status Bit 652" "Not active,Active" bitfld.long 0x00 11. " ASB651 ,Active Status Bit 651" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB650 ,Active Status Bit 650" "Not active,Active" bitfld.long 0x00 9. " ASB649 ,Active Status Bit 649" "Not active,Active" bitfld.long 0x00 8. " ASB648 ,Active Status Bit 648" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB647 ,Active Status Bit 647" "Not active,Active" bitfld.long 0x00 6. " ASB646 ,Active Status Bit 646" "Not active,Active" bitfld.long 0x00 5. " ASB645 ,Active Status Bit 645" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB644 ,Active Status Bit 644" "Not active,Active" bitfld.long 0x00 3. " ASB643 ,Active Status Bit 643" "Not active,Active" bitfld.long 0x00 2. " ASB642 ,Active Status Bit 642" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB641 ,Active Status Bit 641" "Not active,Active" bitfld.long 0x00 0. " ASB640 ,Active Status Bit 640" "Not active,Active" else rgroup.long 0x0350++0x03 line.long 0x0 "GICD_ICDABR20,Active Status Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) rgroup.long 0x0354++0x03 line.long 0x0 "GICD_ICDABR21,Active Status Register 21" bitfld.long 0x00 31. " ASB703 ,Active Status Bit 703" "Not active,Active" bitfld.long 0x00 30. " ASB702 ,Active Status Bit 702" "Not active,Active" bitfld.long 0x00 29. " ASB701 ,Active Status Bit 701" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB700 ,Active Status Bit 700" "Not active,Active" bitfld.long 0x00 27. " ASB699 ,Active Status Bit 699" "Not active,Active" bitfld.long 0x00 26. " ASB698 ,Active Status Bit 698" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB697 ,Active Status Bit 697" "Not active,Active" bitfld.long 0x00 24. " ASB696 ,Active Status Bit 696" "Not active,Active" bitfld.long 0x00 23. " ASB695 ,Active Status Bit 695" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB694 ,Active Status Bit 694" "Not active,Active" bitfld.long 0x00 21. " ASB693 ,Active Status Bit 693" "Not active,Active" bitfld.long 0x00 20. " ASB692 ,Active Status Bit 692" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB691 ,Active Status Bit 691" "Not active,Active" bitfld.long 0x00 18. " ASB690 ,Active Status Bit 690" "Not active,Active" bitfld.long 0x00 17. " ASB689 ,Active Status Bit 689" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB688 ,Active Status Bit 688" "Not active,Active" bitfld.long 0x00 15. " ASB687 ,Active Status Bit 687" "Not active,Active" bitfld.long 0x00 14. " ASB686 ,Active Status Bit 686" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB685 ,Active Status Bit 685" "Not active,Active" bitfld.long 0x00 12. " ASB684 ,Active Status Bit 684" "Not active,Active" bitfld.long 0x00 11. " ASB683 ,Active Status Bit 683" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB682 ,Active Status Bit 682" "Not active,Active" bitfld.long 0x00 9. " ASB681 ,Active Status Bit 681" "Not active,Active" bitfld.long 0x00 8. " ASB680 ,Active Status Bit 680" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB679 ,Active Status Bit 679" "Not active,Active" bitfld.long 0x00 6. " ASB678 ,Active Status Bit 678" "Not active,Active" bitfld.long 0x00 5. " ASB677 ,Active Status Bit 677" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB676 ,Active Status Bit 676" "Not active,Active" bitfld.long 0x00 3. " ASB675 ,Active Status Bit 675" "Not active,Active" bitfld.long 0x00 2. " ASB674 ,Active Status Bit 674" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB673 ,Active Status Bit 673" "Not active,Active" bitfld.long 0x00 0. " ASB672 ,Active Status Bit 672" "Not active,Active" else rgroup.long 0x0354++0x03 line.long 0x0 "GICD_ICDABR21,Active Status Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) rgroup.long 0x0358++0x03 line.long 0x0 "GICD_ICDABR22,Active Status Register 22" bitfld.long 0x00 31. " ASB735 ,Active Status Bit 735" "Not active,Active" bitfld.long 0x00 30. " ASB734 ,Active Status Bit 734" "Not active,Active" bitfld.long 0x00 29. " ASB733 ,Active Status Bit 733" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB732 ,Active Status Bit 732" "Not active,Active" bitfld.long 0x00 27. " ASB731 ,Active Status Bit 731" "Not active,Active" bitfld.long 0x00 26. " ASB730 ,Active Status Bit 730" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB729 ,Active Status Bit 729" "Not active,Active" bitfld.long 0x00 24. " ASB728 ,Active Status Bit 728" "Not active,Active" bitfld.long 0x00 23. " ASB727 ,Active Status Bit 727" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB726 ,Active Status Bit 726" "Not active,Active" bitfld.long 0x00 21. " ASB725 ,Active Status Bit 725" "Not active,Active" bitfld.long 0x00 20. " ASB724 ,Active Status Bit 724" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB723 ,Active Status Bit 723" "Not active,Active" bitfld.long 0x00 18. " ASB722 ,Active Status Bit 722" "Not active,Active" bitfld.long 0x00 17. " ASB721 ,Active Status Bit 721" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB720 ,Active Status Bit 720" "Not active,Active" bitfld.long 0x00 15. " ASB719 ,Active Status Bit 719" "Not active,Active" bitfld.long 0x00 14. " ASB718 ,Active Status Bit 718" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB717 ,Active Status Bit 717" "Not active,Active" bitfld.long 0x00 12. " ASB716 ,Active Status Bit 716" "Not active,Active" bitfld.long 0x00 11. " ASB715 ,Active Status Bit 715" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB714 ,Active Status Bit 714" "Not active,Active" bitfld.long 0x00 9. " ASB713 ,Active Status Bit 713" "Not active,Active" bitfld.long 0x00 8. " ASB712 ,Active Status Bit 712" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB711 ,Active Status Bit 711" "Not active,Active" bitfld.long 0x00 6. " ASB710 ,Active Status Bit 710" "Not active,Active" bitfld.long 0x00 5. " ASB709 ,Active Status Bit 709" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB708 ,Active Status Bit 708" "Not active,Active" bitfld.long 0x00 3. " ASB707 ,Active Status Bit 707" "Not active,Active" bitfld.long 0x00 2. " ASB706 ,Active Status Bit 706" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB705 ,Active Status Bit 705" "Not active,Active" bitfld.long 0x00 0. " ASB704 ,Active Status Bit 704" "Not active,Active" else rgroup.long 0x0358++0x03 line.long 0x0 "GICD_ICDABR22,Active Status Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) rgroup.long 0x035C++0x03 line.long 0x0 "GICD_ICDABR23,Active Status Register 23" bitfld.long 0x00 31. " ASB767 ,Active Status Bit 767" "Not active,Active" bitfld.long 0x00 30. " ASB766 ,Active Status Bit 766" "Not active,Active" bitfld.long 0x00 29. " ASB765 ,Active Status Bit 765" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB764 ,Active Status Bit 764" "Not active,Active" bitfld.long 0x00 27. " ASB763 ,Active Status Bit 763" "Not active,Active" bitfld.long 0x00 26. " ASB762 ,Active Status Bit 762" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB761 ,Active Status Bit 761" "Not active,Active" bitfld.long 0x00 24. " ASB760 ,Active Status Bit 760" "Not active,Active" bitfld.long 0x00 23. " ASB759 ,Active Status Bit 759" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB758 ,Active Status Bit 758" "Not active,Active" bitfld.long 0x00 21. " ASB757 ,Active Status Bit 757" "Not active,Active" bitfld.long 0x00 20. " ASB756 ,Active Status Bit 756" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB755 ,Active Status Bit 755" "Not active,Active" bitfld.long 0x00 18. " ASB754 ,Active Status Bit 754" "Not active,Active" bitfld.long 0x00 17. " ASB753 ,Active Status Bit 753" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB752 ,Active Status Bit 752" "Not active,Active" bitfld.long 0x00 15. " ASB751 ,Active Status Bit 751" "Not active,Active" bitfld.long 0x00 14. " ASB750 ,Active Status Bit 750" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB749 ,Active Status Bit 749" "Not active,Active" bitfld.long 0x00 12. " ASB748 ,Active Status Bit 748" "Not active,Active" bitfld.long 0x00 11. " ASB747 ,Active Status Bit 747" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB746 ,Active Status Bit 746" "Not active,Active" bitfld.long 0x00 9. " ASB745 ,Active Status Bit 745" "Not active,Active" bitfld.long 0x00 8. " ASB744 ,Active Status Bit 744" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB743 ,Active Status Bit 743" "Not active,Active" bitfld.long 0x00 6. " ASB742 ,Active Status Bit 742" "Not active,Active" bitfld.long 0x00 5. " ASB741 ,Active Status Bit 741" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB740 ,Active Status Bit 740" "Not active,Active" bitfld.long 0x00 3. " ASB739 ,Active Status Bit 739" "Not active,Active" bitfld.long 0x00 2. " ASB738 ,Active Status Bit 738" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB737 ,Active Status Bit 737" "Not active,Active" bitfld.long 0x00 0. " ASB736 ,Active Status Bit 736" "Not active,Active" else rgroup.long 0x035C++0x03 line.long 0x0 "GICD_ICDABR23,Active Status Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) rgroup.long 0x0360++0x03 line.long 0x0 "GICD_ICDABR24,Active Status Register 24" bitfld.long 0x00 31. " ASB799 ,Active Status Bit 799" "Not active,Active" bitfld.long 0x00 30. " ASB798 ,Active Status Bit 798" "Not active,Active" bitfld.long 0x00 29. " ASB797 ,Active Status Bit 797" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB796 ,Active Status Bit 796" "Not active,Active" bitfld.long 0x00 27. " ASB795 ,Active Status Bit 795" "Not active,Active" bitfld.long 0x00 26. " ASB794 ,Active Status Bit 794" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB793 ,Active Status Bit 793" "Not active,Active" bitfld.long 0x00 24. " ASB792 ,Active Status Bit 792" "Not active,Active" bitfld.long 0x00 23. " ASB791 ,Active Status Bit 791" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB790 ,Active Status Bit 790" "Not active,Active" bitfld.long 0x00 21. " ASB789 ,Active Status Bit 789" "Not active,Active" bitfld.long 0x00 20. " ASB788 ,Active Status Bit 788" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB787 ,Active Status Bit 787" "Not active,Active" bitfld.long 0x00 18. " ASB786 ,Active Status Bit 786" "Not active,Active" bitfld.long 0x00 17. " ASB785 ,Active Status Bit 785" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB784 ,Active Status Bit 784" "Not active,Active" bitfld.long 0x00 15. " ASB783 ,Active Status Bit 783" "Not active,Active" bitfld.long 0x00 14. " ASB782 ,Active Status Bit 782" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB781 ,Active Status Bit 781" "Not active,Active" bitfld.long 0x00 12. " ASB780 ,Active Status Bit 780" "Not active,Active" bitfld.long 0x00 11. " ASB779 ,Active Status Bit 779" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB778 ,Active Status Bit 778" "Not active,Active" bitfld.long 0x00 9. " ASB777 ,Active Status Bit 777" "Not active,Active" bitfld.long 0x00 8. " ASB776 ,Active Status Bit 776" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB775 ,Active Status Bit 775" "Not active,Active" bitfld.long 0x00 6. " ASB774 ,Active Status Bit 774" "Not active,Active" bitfld.long 0x00 5. " ASB773 ,Active Status Bit 773" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB772 ,Active Status Bit 772" "Not active,Active" bitfld.long 0x00 3. " ASB771 ,Active Status Bit 771" "Not active,Active" bitfld.long 0x00 2. " ASB770 ,Active Status Bit 770" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB769 ,Active Status Bit 769" "Not active,Active" bitfld.long 0x00 0. " ASB768 ,Active Status Bit 768" "Not active,Active" else rgroup.long 0x0360++0x03 line.long 0x0 "GICD_ICDABR24,Active Status Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) rgroup.long 0x0364++0x03 line.long 0x0 "GICD_ICDABR25,Active Status Register 25" bitfld.long 0x00 31. " ASB831 ,Active Status Bit 831" "Not active,Active" bitfld.long 0x00 30. " ASB830 ,Active Status Bit 830" "Not active,Active" bitfld.long 0x00 29. " ASB829 ,Active Status Bit 829" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB828 ,Active Status Bit 828" "Not active,Active" bitfld.long 0x00 27. " ASB827 ,Active Status Bit 827" "Not active,Active" bitfld.long 0x00 26. " ASB826 ,Active Status Bit 826" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB825 ,Active Status Bit 825" "Not active,Active" bitfld.long 0x00 24. " ASB824 ,Active Status Bit 824" "Not active,Active" bitfld.long 0x00 23. " ASB823 ,Active Status Bit 823" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB822 ,Active Status Bit 822" "Not active,Active" bitfld.long 0x00 21. " ASB821 ,Active Status Bit 821" "Not active,Active" bitfld.long 0x00 20. " ASB820 ,Active Status Bit 820" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB819 ,Active Status Bit 819" "Not active,Active" bitfld.long 0x00 18. " ASB818 ,Active Status Bit 818" "Not active,Active" bitfld.long 0x00 17. " ASB817 ,Active Status Bit 817" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB816 ,Active Status Bit 816" "Not active,Active" bitfld.long 0x00 15. " ASB815 ,Active Status Bit 815" "Not active,Active" bitfld.long 0x00 14. " ASB814 ,Active Status Bit 814" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB813 ,Active Status Bit 813" "Not active,Active" bitfld.long 0x00 12. " ASB812 ,Active Status Bit 812" "Not active,Active" bitfld.long 0x00 11. " ASB811 ,Active Status Bit 811" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB810 ,Active Status Bit 810" "Not active,Active" bitfld.long 0x00 9. " ASB809 ,Active Status Bit 809" "Not active,Active" bitfld.long 0x00 8. " ASB808 ,Active Status Bit 808" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB807 ,Active Status Bit 807" "Not active,Active" bitfld.long 0x00 6. " ASB806 ,Active Status Bit 806" "Not active,Active" bitfld.long 0x00 5. " ASB805 ,Active Status Bit 805" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB804 ,Active Status Bit 804" "Not active,Active" bitfld.long 0x00 3. " ASB803 ,Active Status Bit 803" "Not active,Active" bitfld.long 0x00 2. " ASB802 ,Active Status Bit 802" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB801 ,Active Status Bit 801" "Not active,Active" bitfld.long 0x00 0. " ASB800 ,Active Status Bit 800" "Not active,Active" else rgroup.long 0x0364++0x03 line.long 0x0 "GICD_ICDABR25,Active Status Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) rgroup.long 0x0368++0x03 line.long 0x0 "GICD_ICDABR26,Active Status Register 26" bitfld.long 0x00 31. " ASB863 ,Active Status Bit 863" "Not active,Active" bitfld.long 0x00 30. " ASB862 ,Active Status Bit 862" "Not active,Active" bitfld.long 0x00 29. " ASB861 ,Active Status Bit 861" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB860 ,Active Status Bit 860" "Not active,Active" bitfld.long 0x00 27. " ASB859 ,Active Status Bit 859" "Not active,Active" bitfld.long 0x00 26. " ASB858 ,Active Status Bit 858" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB857 ,Active Status Bit 857" "Not active,Active" bitfld.long 0x00 24. " ASB856 ,Active Status Bit 856" "Not active,Active" bitfld.long 0x00 23. " ASB855 ,Active Status Bit 855" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB854 ,Active Status Bit 854" "Not active,Active" bitfld.long 0x00 21. " ASB853 ,Active Status Bit 853" "Not active,Active" bitfld.long 0x00 20. " ASB852 ,Active Status Bit 852" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB851 ,Active Status Bit 851" "Not active,Active" bitfld.long 0x00 18. " ASB850 ,Active Status Bit 850" "Not active,Active" bitfld.long 0x00 17. " ASB849 ,Active Status Bit 849" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB848 ,Active Status Bit 848" "Not active,Active" bitfld.long 0x00 15. " ASB847 ,Active Status Bit 847" "Not active,Active" bitfld.long 0x00 14. " ASB846 ,Active Status Bit 846" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB845 ,Active Status Bit 845" "Not active,Active" bitfld.long 0x00 12. " ASB844 ,Active Status Bit 844" "Not active,Active" bitfld.long 0x00 11. " ASB843 ,Active Status Bit 843" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB842 ,Active Status Bit 842" "Not active,Active" bitfld.long 0x00 9. " ASB841 ,Active Status Bit 841" "Not active,Active" bitfld.long 0x00 8. " ASB840 ,Active Status Bit 840" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB839 ,Active Status Bit 839" "Not active,Active" bitfld.long 0x00 6. " ASB838 ,Active Status Bit 838" "Not active,Active" bitfld.long 0x00 5. " ASB837 ,Active Status Bit 837" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB836 ,Active Status Bit 836" "Not active,Active" bitfld.long 0x00 3. " ASB835 ,Active Status Bit 835" "Not active,Active" bitfld.long 0x00 2. " ASB834 ,Active Status Bit 834" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB833 ,Active Status Bit 833" "Not active,Active" bitfld.long 0x00 0. " ASB832 ,Active Status Bit 832" "Not active,Active" else rgroup.long 0x0368++0x03 line.long 0x0 "GICD_ICDABR26,Active Status Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) rgroup.long 0x036C++0x03 line.long 0x0 "GICD_ICDABR27,Active Status Register 27" bitfld.long 0x00 31. " ASB895 ,Active Status Bit 895" "Not active,Active" bitfld.long 0x00 30. " ASB894 ,Active Status Bit 894" "Not active,Active" bitfld.long 0x00 29. " ASB893 ,Active Status Bit 893" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB892 ,Active Status Bit 892" "Not active,Active" bitfld.long 0x00 27. " ASB891 ,Active Status Bit 891" "Not active,Active" bitfld.long 0x00 26. " ASB890 ,Active Status Bit 890" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB889 ,Active Status Bit 889" "Not active,Active" bitfld.long 0x00 24. " ASB888 ,Active Status Bit 888" "Not active,Active" bitfld.long 0x00 23. " ASB887 ,Active Status Bit 887" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB886 ,Active Status Bit 886" "Not active,Active" bitfld.long 0x00 21. " ASB885 ,Active Status Bit 885" "Not active,Active" bitfld.long 0x00 20. " ASB884 ,Active Status Bit 884" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB883 ,Active Status Bit 883" "Not active,Active" bitfld.long 0x00 18. " ASB882 ,Active Status Bit 882" "Not active,Active" bitfld.long 0x00 17. " ASB881 ,Active Status Bit 881" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB880 ,Active Status Bit 880" "Not active,Active" bitfld.long 0x00 15. " ASB879 ,Active Status Bit 879" "Not active,Active" bitfld.long 0x00 14. " ASB878 ,Active Status Bit 878" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB877 ,Active Status Bit 877" "Not active,Active" bitfld.long 0x00 12. " ASB876 ,Active Status Bit 876" "Not active,Active" bitfld.long 0x00 11. " ASB875 ,Active Status Bit 875" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB874 ,Active Status Bit 874" "Not active,Active" bitfld.long 0x00 9. " ASB873 ,Active Status Bit 873" "Not active,Active" bitfld.long 0x00 8. " ASB872 ,Active Status Bit 872" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB871 ,Active Status Bit 871" "Not active,Active" bitfld.long 0x00 6. " ASB870 ,Active Status Bit 870" "Not active,Active" bitfld.long 0x00 5. " ASB869 ,Active Status Bit 869" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB868 ,Active Status Bit 868" "Not active,Active" bitfld.long 0x00 3. " ASB867 ,Active Status Bit 867" "Not active,Active" bitfld.long 0x00 2. " ASB866 ,Active Status Bit 866" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB865 ,Active Status Bit 865" "Not active,Active" bitfld.long 0x00 0. " ASB864 ,Active Status Bit 864" "Not active,Active" else rgroup.long 0x036C++0x03 line.long 0x0 "GICD_ICDABR27,Active Status Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) rgroup.long 0x0370++0x03 line.long 0x0 "GICD_ICDABR28,Active Status Register 28" bitfld.long 0x00 31. " ASB927 ,Active Status Bit 927" "Not active,Active" bitfld.long 0x00 30. " ASB926 ,Active Status Bit 926" "Not active,Active" bitfld.long 0x00 29. " ASB925 ,Active Status Bit 925" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB924 ,Active Status Bit 924" "Not active,Active" bitfld.long 0x00 27. " ASB923 ,Active Status Bit 923" "Not active,Active" bitfld.long 0x00 26. " ASB922 ,Active Status Bit 922" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB921 ,Active Status Bit 921" "Not active,Active" bitfld.long 0x00 24. " ASB920 ,Active Status Bit 920" "Not active,Active" bitfld.long 0x00 23. " ASB919 ,Active Status Bit 919" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB918 ,Active Status Bit 918" "Not active,Active" bitfld.long 0x00 21. " ASB917 ,Active Status Bit 917" "Not active,Active" bitfld.long 0x00 20. " ASB916 ,Active Status Bit 916" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB915 ,Active Status Bit 915" "Not active,Active" bitfld.long 0x00 18. " ASB914 ,Active Status Bit 914" "Not active,Active" bitfld.long 0x00 17. " ASB913 ,Active Status Bit 913" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB912 ,Active Status Bit 912" "Not active,Active" bitfld.long 0x00 15. " ASB911 ,Active Status Bit 911" "Not active,Active" bitfld.long 0x00 14. " ASB910 ,Active Status Bit 910" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB909 ,Active Status Bit 909" "Not active,Active" bitfld.long 0x00 12. " ASB908 ,Active Status Bit 908" "Not active,Active" bitfld.long 0x00 11. " ASB907 ,Active Status Bit 907" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB906 ,Active Status Bit 906" "Not active,Active" bitfld.long 0x00 9. " ASB905 ,Active Status Bit 905" "Not active,Active" bitfld.long 0x00 8. " ASB904 ,Active Status Bit 904" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB903 ,Active Status Bit 903" "Not active,Active" bitfld.long 0x00 6. " ASB902 ,Active Status Bit 902" "Not active,Active" bitfld.long 0x00 5. " ASB901 ,Active Status Bit 901" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB900 ,Active Status Bit 900" "Not active,Active" bitfld.long 0x00 3. " ASB899 ,Active Status Bit 899" "Not active,Active" bitfld.long 0x00 2. " ASB898 ,Active Status Bit 898" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB897 ,Active Status Bit 897" "Not active,Active" bitfld.long 0x00 0. " ASB896 ,Active Status Bit 896" "Not active,Active" else rgroup.long 0x0370++0x03 line.long 0x0 "GICD_ICDABR28,Active Status Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) rgroup.long 0x0374++0x03 line.long 0x0 "GICD_ICDABR29,Active Status Register 29" bitfld.long 0x00 31. " ASB959 ,Active Status Bit 959" "Not active,Active" bitfld.long 0x00 30. " ASB958 ,Active Status Bit 958" "Not active,Active" bitfld.long 0x00 29. " ASB957 ,Active Status Bit 957" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB956 ,Active Status Bit 956" "Not active,Active" bitfld.long 0x00 27. " ASB955 ,Active Status Bit 955" "Not active,Active" bitfld.long 0x00 26. " ASB954 ,Active Status Bit 954" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB953 ,Active Status Bit 953" "Not active,Active" bitfld.long 0x00 24. " ASB952 ,Active Status Bit 952" "Not active,Active" bitfld.long 0x00 23. " ASB951 ,Active Status Bit 951" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB950 ,Active Status Bit 950" "Not active,Active" bitfld.long 0x00 21. " ASB949 ,Active Status Bit 949" "Not active,Active" bitfld.long 0x00 20. " ASB948 ,Active Status Bit 948" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB947 ,Active Status Bit 947" "Not active,Active" bitfld.long 0x00 18. " ASB946 ,Active Status Bit 946" "Not active,Active" bitfld.long 0x00 17. " ASB945 ,Active Status Bit 945" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB944 ,Active Status Bit 944" "Not active,Active" bitfld.long 0x00 15. " ASB943 ,Active Status Bit 943" "Not active,Active" bitfld.long 0x00 14. " ASB942 ,Active Status Bit 942" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB941 ,Active Status Bit 941" "Not active,Active" bitfld.long 0x00 12. " ASB940 ,Active Status Bit 940" "Not active,Active" bitfld.long 0x00 11. " ASB939 ,Active Status Bit 939" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB938 ,Active Status Bit 938" "Not active,Active" bitfld.long 0x00 9. " ASB937 ,Active Status Bit 937" "Not active,Active" bitfld.long 0x00 8. " ASB936 ,Active Status Bit 936" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB935 ,Active Status Bit 935" "Not active,Active" bitfld.long 0x00 6. " ASB934 ,Active Status Bit 934" "Not active,Active" bitfld.long 0x00 5. " ASB933 ,Active Status Bit 933" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB932 ,Active Status Bit 932" "Not active,Active" bitfld.long 0x00 3. " ASB931 ,Active Status Bit 931" "Not active,Active" bitfld.long 0x00 2. " ASB930 ,Active Status Bit 930" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB929 ,Active Status Bit 929" "Not active,Active" bitfld.long 0x00 0. " ASB928 ,Active Status Bit 928" "Not active,Active" else rgroup.long 0x0374++0x03 line.long 0x0 "GICD_ICDABR29,Active Status Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) rgroup.long 0x0378++0x03 line.long 0x0 "GICD_ICDABR30,Active Status Register 30" bitfld.long 0x00 31. " ASB991 ,Active Status Bit 991" "Not active,Active" bitfld.long 0x00 30. " ASB990 ,Active Status Bit 990" "Not active,Active" bitfld.long 0x00 29. " ASB989 ,Active Status Bit 989" "Not active,Active" textline " " bitfld.long 0x00 28. " ASB988 ,Active Status Bit 988" "Not active,Active" bitfld.long 0x00 27. " ASB987 ,Active Status Bit 987" "Not active,Active" bitfld.long 0x00 26. " ASB986 ,Active Status Bit 986" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB985 ,Active Status Bit 985" "Not active,Active" bitfld.long 0x00 24. " ASB984 ,Active Status Bit 984" "Not active,Active" bitfld.long 0x00 23. " ASB983 ,Active Status Bit 983" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB982 ,Active Status Bit 982" "Not active,Active" bitfld.long 0x00 21. " ASB981 ,Active Status Bit 981" "Not active,Active" bitfld.long 0x00 20. " ASB980 ,Active Status Bit 980" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB979 ,Active Status Bit 979" "Not active,Active" bitfld.long 0x00 18. " ASB978 ,Active Status Bit 978" "Not active,Active" bitfld.long 0x00 17. " ASB977 ,Active Status Bit 977" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB976 ,Active Status Bit 976" "Not active,Active" bitfld.long 0x00 15. " ASB975 ,Active Status Bit 975" "Not active,Active" bitfld.long 0x00 14. " ASB974 ,Active Status Bit 974" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB973 ,Active Status Bit 973" "Not active,Active" bitfld.long 0x00 12. " ASB972 ,Active Status Bit 972" "Not active,Active" bitfld.long 0x00 11. " ASB971 ,Active Status Bit 971" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB970 ,Active Status Bit 970" "Not active,Active" bitfld.long 0x00 9. " ASB969 ,Active Status Bit 969" "Not active,Active" bitfld.long 0x00 8. " ASB968 ,Active Status Bit 968" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB967 ,Active Status Bit 967" "Not active,Active" bitfld.long 0x00 6. " ASB966 ,Active Status Bit 966" "Not active,Active" bitfld.long 0x00 5. " ASB965 ,Active Status Bit 965" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB964 ,Active Status Bit 964" "Not active,Active" bitfld.long 0x00 3. " ASB963 ,Active Status Bit 963" "Not active,Active" bitfld.long 0x00 2. " ASB962 ,Active Status Bit 962" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB961 ,Active Status Bit 961" "Not active,Active" bitfld.long 0x00 0. " ASB960 ,Active Status Bit 960" "Not active,Active" else rgroup.long 0x0378++0x03 line.long 0x0 "GICD_ICDABR30,Active Status Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)==0x1F) rgroup.long 0x037C++0x03 line.long 0x0 "GICD_ICDABR31,Active Status Register 31" bitfld.long 0x00 27. " ASB1019 ,Active Status Bit 1019" "Not active,Active" bitfld.long 0x00 26. " ASB1018 ,Active Status Bit 1018" "Not active,Active" textline " " bitfld.long 0x00 25. " ASB1017 ,Active Status Bit 1017" "Not active,Active" bitfld.long 0x00 24. " ASB1016 ,Active Status Bit 1016" "Not active,Active" bitfld.long 0x00 23. " ASB1015 ,Active Status Bit 1015" "Not active,Active" textline " " bitfld.long 0x00 22. " ASB1014 ,Active Status Bit 1014" "Not active,Active" bitfld.long 0x00 21. " ASB1013 ,Active Status Bit 1013" "Not active,Active" bitfld.long 0x00 20. " ASB1012 ,Active Status Bit 1012" "Not active,Active" textline " " bitfld.long 0x00 19. " ASB1011 ,Active Status Bit 1011" "Not active,Active" bitfld.long 0x00 18. " ASB1010 ,Active Status Bit 1010" "Not active,Active" bitfld.long 0x00 17. " ASB1009 ,Active Status Bit 1009" "Not active,Active" textline " " bitfld.long 0x00 16. " ASB1008 ,Active Status Bit 1008" "Not active,Active" bitfld.long 0x00 15. " ASB1007 ,Active Status Bit 1007" "Not active,Active" bitfld.long 0x00 14. " ASB1006 ,Active Status Bit 1006" "Not active,Active" textline " " bitfld.long 0x00 13. " ASB1005 ,Active Status Bit 1005" "Not active,Active" bitfld.long 0x00 12. " ASB1004 ,Active Status Bit 1004" "Not active,Active" bitfld.long 0x00 11. " ASB1003 ,Active Status Bit 1003" "Not active,Active" textline " " bitfld.long 0x00 10. " ASB1002 ,Active Status Bit 1002" "Not active,Active" bitfld.long 0x00 9. " ASB1001 ,Active Status Bit 1001" "Not active,Active" bitfld.long 0x00 8. " ASB1000 ,Active Status Bit 1000" "Not active,Active" textline " " bitfld.long 0x00 7. " ASB999 ,Active Status Bit 999" "Not active,Active" bitfld.long 0x00 6. " ASB998 ,Active Status Bit 998" "Not active,Active" bitfld.long 0x00 5. " ASB997 ,Active Status Bit 997" "Not active,Active" textline " " bitfld.long 0x00 4. " ASB996 ,Active Status Bit 996" "Not active,Active" bitfld.long 0x00 3. " ASB995 ,Active Status Bit 995" "Not active,Active" bitfld.long 0x00 2. " ASB994 ,Active Status Bit 994" "Not active,Active" textline " " bitfld.long 0x00 1. " ASB993 ,Active Status Bit 993" "Not active,Active" bitfld.long 0x00 0. " ASB992 ,Active Status Bit 992" "Not active,Active" else rgroup.long 0x037C++0x03 line.long 0x0 "GICD_ICDABR31,Active Status Register 31" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif else group.long 0x0300++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER0,Interrupt Set/Clear Active Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE31 ,Set/Clear Active Bit 31" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE30 ,Set/Clear Active Bit 30" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE29 ,Set/Clear Active Bit 29" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE28 ,Set/Clear Active Bit 28" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE27 ,Set/Clear Active Bit 27" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE26 ,Set/Clear Active Bit 26" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE25 ,Set/Clear Active Bit 25" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE24 ,Set/Clear Active Bit 24" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE23 ,Set/Clear Active Bit 23" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE22 ,Set/Clear Active Bit 22" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE21 ,Set/Clear Active Bit 21" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE20 ,Set/Clear Active Bit 20" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE19 ,Set/Clear Active Bit 19" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE18 ,Set/Clear Active Bit 18" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE17 ,Set/Clear Active Bit 17" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE16 ,Set/Clear Active Bit 16" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE15 ,Set/Clear Active Bit 15" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE14 ,Set/Clear Active Bit 14" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE13 ,Set/Clear Active Bit 13" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE12 ,Set/Clear Active Bit 12" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE11 ,Set/Clear Active Bit 11" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE10 ,Set/Clear Active Bit 10" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE9 ,Set/Clear Active Bit 9" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE8 ,Set/Clear Active Bit 8" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE7 ,Set/Clear Active Bit 7" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE6 ,Set/Clear Active Bit 6" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE5 ,Set/Clear Active Bit 5" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE4 ,Set/Clear Active Bit 4" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE3 ,Set/Clear Active Bit 3" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE2 ,Set/Clear Active Bit 2" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE1 ,Set/Clear Active Bit 1" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE0 ,Set/Clear Active Bit 0" "Not active,Active" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x0304++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER1,Interrupt Set/Clear Active Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE63 ,Set/Clear Active Bit 63" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE62 ,Set/Clear Active Bit 62" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE61 ,Set/Clear Active Bit 61" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE60 ,Set/Clear Active Bit 60" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE59 ,Set/Clear Active Bit 59" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE58 ,Set/Clear Active Bit 58" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE57 ,Set/Clear Active Bit 57" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE56 ,Set/Clear Active Bit 56" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE55 ,Set/Clear Active Bit 55" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE54 ,Set/Clear Active Bit 54" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE53 ,Set/Clear Active Bit 53" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE52 ,Set/Clear Active Bit 52" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE51 ,Set/Clear Active Bit 51" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE50 ,Set/Clear Active Bit 50" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE49 ,Set/Clear Active Bit 49" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE48 ,Set/Clear Active Bit 48" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE47 ,Set/Clear Active Bit 47" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE46 ,Set/Clear Active Bit 46" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE45 ,Set/Clear Active Bit 45" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE44 ,Set/Clear Active Bit 44" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE43 ,Set/Clear Active Bit 43" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE42 ,Set/Clear Active Bit 42" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE41 ,Set/Clear Active Bit 41" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE40 ,Set/Clear Active Bit 40" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE39 ,Set/Clear Active Bit 39" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE38 ,Set/Clear Active Bit 38" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE37 ,Set/Clear Active Bit 37" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE36 ,Set/Clear Active Bit 36" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE35 ,Set/Clear Active Bit 35" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE34 ,Set/Clear Active Bit 34" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE33 ,Set/Clear Active Bit 33" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE32 ,Set/Clear Active Bit 32" "Not active,Active" else rgroup.long 0x0304++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER1,Interrupt Set/Clear Active Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x0308++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER2,Interrupt Set/Clear Active Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE95 ,Set/Clear Active Bit 95" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE94 ,Set/Clear Active Bit 94" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE93 ,Set/Clear Active Bit 93" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE92 ,Set/Clear Active Bit 92" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE91 ,Set/Clear Active Bit 91" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE90 ,Set/Clear Active Bit 90" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE89 ,Set/Clear Active Bit 89" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE88 ,Set/Clear Active Bit 88" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE87 ,Set/Clear Active Bit 87" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE86 ,Set/Clear Active Bit 86" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE85 ,Set/Clear Active Bit 85" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE84 ,Set/Clear Active Bit 84" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE83 ,Set/Clear Active Bit 83" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE82 ,Set/Clear Active Bit 82" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE81 ,Set/Clear Active Bit 81" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE80 ,Set/Clear Active Bit 80" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE79 ,Set/Clear Active Bit 79" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE78 ,Set/Clear Active Bit 78" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE77 ,Set/Clear Active Bit 77" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE76 ,Set/Clear Active Bit 76" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE75 ,Set/Clear Active Bit 75" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE74 ,Set/Clear Active Bit 74" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE73 ,Set/Clear Active Bit 73" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE72 ,Set/Clear Active Bit 72" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE71 ,Set/Clear Active Bit 71" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE70 ,Set/Clear Active Bit 70" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE69 ,Set/Clear Active Bit 69" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE68 ,Set/Clear Active Bit 68" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE67 ,Set/Clear Active Bit 67" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE66 ,Set/Clear Active Bit 66" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE65 ,Set/Clear Active Bit 65" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE64 ,Set/Clear Active Bit 64" "Not active,Active" else rgroup.long 0x0308++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER2,Interrupt Set/Clear Active Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x030C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER3,Interrupt Set/Clear Active Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE127 ,Set/Clear Active Bit 127" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE126 ,Set/Clear Active Bit 126" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE125 ,Set/Clear Active Bit 125" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE124 ,Set/Clear Active Bit 124" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE123 ,Set/Clear Active Bit 123" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE122 ,Set/Clear Active Bit 122" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE121 ,Set/Clear Active Bit 121" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE120 ,Set/Clear Active Bit 120" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE119 ,Set/Clear Active Bit 119" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE118 ,Set/Clear Active Bit 118" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE117 ,Set/Clear Active Bit 117" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE116 ,Set/Clear Active Bit 116" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE115 ,Set/Clear Active Bit 115" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE114 ,Set/Clear Active Bit 114" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE113 ,Set/Clear Active Bit 113" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE112 ,Set/Clear Active Bit 112" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE111 ,Set/Clear Active Bit 111" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE110 ,Set/Clear Active Bit 110" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE109 ,Set/Clear Active Bit 109" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE108 ,Set/Clear Active Bit 108" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE107 ,Set/Clear Active Bit 107" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE106 ,Set/Clear Active Bit 106" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE105 ,Set/Clear Active Bit 105" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE104 ,Set/Clear Active Bit 104" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE103 ,Set/Clear Active Bit 103" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE102 ,Set/Clear Active Bit 102" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE101 ,Set/Clear Active Bit 101" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE100 ,Set/Clear Active Bit 100" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE99 ,Set/Clear Active Bit 99" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE98 ,Set/Clear Active Bit 98" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE97 ,Set/Clear Active Bit 97" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE96 ,Set/Clear Active Bit 96" "Not active,Active" else rgroup.long 0x030C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER3,Interrupt Set/Clear Active Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x0310++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER4,Interrupt Set/Clear Active Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE159 ,Set/Clear Active Bit 159" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE158 ,Set/Clear Active Bit 158" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE157 ,Set/Clear Active Bit 157" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE156 ,Set/Clear Active Bit 156" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE155 ,Set/Clear Active Bit 155" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE154 ,Set/Clear Active Bit 154" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE153 ,Set/Clear Active Bit 153" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE152 ,Set/Clear Active Bit 152" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE151 ,Set/Clear Active Bit 151" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE150 ,Set/Clear Active Bit 150" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE149 ,Set/Clear Active Bit 149" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE148 ,Set/Clear Active Bit 148" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE147 ,Set/Clear Active Bit 147" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE146 ,Set/Clear Active Bit 146" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE145 ,Set/Clear Active Bit 145" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE144 ,Set/Clear Active Bit 144" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE143 ,Set/Clear Active Bit 143" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE142 ,Set/Clear Active Bit 142" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE141 ,Set/Clear Active Bit 141" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE140 ,Set/Clear Active Bit 140" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE139 ,Set/Clear Active Bit 139" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE138 ,Set/Clear Active Bit 138" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE137 ,Set/Clear Active Bit 137" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE136 ,Set/Clear Active Bit 136" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE135 ,Set/Clear Active Bit 135" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE134 ,Set/Clear Active Bit 134" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE133 ,Set/Clear Active Bit 133" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE132 ,Set/Clear Active Bit 132" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE131 ,Set/Clear Active Bit 131" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE130 ,Set/Clear Active Bit 130" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE129 ,Set/Clear Active Bit 129" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE128 ,Set/Clear Active Bit 128" "Not active,Active" else rgroup.long 0x0310++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER4,Interrupt Set/Clear Active Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x0314++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER5,Interrupt Set/Clear Active Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE191 ,Set/Clear Active Bit 191" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE190 ,Set/Clear Active Bit 190" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE189 ,Set/Clear Active Bit 189" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE188 ,Set/Clear Active Bit 188" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE187 ,Set/Clear Active Bit 187" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE186 ,Set/Clear Active Bit 186" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE185 ,Set/Clear Active Bit 185" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE184 ,Set/Clear Active Bit 184" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE183 ,Set/Clear Active Bit 183" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE182 ,Set/Clear Active Bit 182" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE181 ,Set/Clear Active Bit 181" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE180 ,Set/Clear Active Bit 180" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE179 ,Set/Clear Active Bit 179" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE178 ,Set/Clear Active Bit 178" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE177 ,Set/Clear Active Bit 177" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE176 ,Set/Clear Active Bit 176" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE175 ,Set/Clear Active Bit 175" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE174 ,Set/Clear Active Bit 174" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE173 ,Set/Clear Active Bit 173" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE172 ,Set/Clear Active Bit 172" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE171 ,Set/Clear Active Bit 171" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE170 ,Set/Clear Active Bit 170" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE169 ,Set/Clear Active Bit 169" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE168 ,Set/Clear Active Bit 168" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE167 ,Set/Clear Active Bit 167" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE166 ,Set/Clear Active Bit 166" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE165 ,Set/Clear Active Bit 165" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE164 ,Set/Clear Active Bit 164" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE163 ,Set/Clear Active Bit 163" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE162 ,Set/Clear Active Bit 162" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE161 ,Set/Clear Active Bit 161" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE160 ,Set/Clear Active Bit 160" "Not active,Active" else rgroup.long 0x0314++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER5,Interrupt Set/Clear Active Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x0318++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER6,Interrupt Set/Clear Active Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE223 ,Set/Clear Active Bit 223" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE222 ,Set/Clear Active Bit 222" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE221 ,Set/Clear Active Bit 221" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE220 ,Set/Clear Active Bit 220" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE219 ,Set/Clear Active Bit 219" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE218 ,Set/Clear Active Bit 218" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE217 ,Set/Clear Active Bit 217" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE216 ,Set/Clear Active Bit 216" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE215 ,Set/Clear Active Bit 215" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE214 ,Set/Clear Active Bit 214" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE213 ,Set/Clear Active Bit 213" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE212 ,Set/Clear Active Bit 212" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE211 ,Set/Clear Active Bit 211" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE210 ,Set/Clear Active Bit 210" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE209 ,Set/Clear Active Bit 209" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE208 ,Set/Clear Active Bit 208" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE207 ,Set/Clear Active Bit 207" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE206 ,Set/Clear Active Bit 206" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE205 ,Set/Clear Active Bit 205" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE204 ,Set/Clear Active Bit 204" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE203 ,Set/Clear Active Bit 203" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE202 ,Set/Clear Active Bit 202" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE201 ,Set/Clear Active Bit 201" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE200 ,Set/Clear Active Bit 200" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE199 ,Set/Clear Active Bit 199" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE198 ,Set/Clear Active Bit 198" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE197 ,Set/Clear Active Bit 197" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE196 ,Set/Clear Active Bit 196" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE195 ,Set/Clear Active Bit 195" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE194 ,Set/Clear Active Bit 194" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE193 ,Set/Clear Active Bit 193" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE192 ,Set/Clear Active Bit 192" "Not active,Active" else rgroup.long 0x0318++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER6,Interrupt Set/Clear Active Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x031C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER7,Interrupt Set/Clear Active Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE255 ,Set/Clear Active Bit 255" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE254 ,Set/Clear Active Bit 254" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE253 ,Set/Clear Active Bit 253" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE252 ,Set/Clear Active Bit 252" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE251 ,Set/Clear Active Bit 251" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE250 ,Set/Clear Active Bit 250" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE249 ,Set/Clear Active Bit 249" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE248 ,Set/Clear Active Bit 248" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE247 ,Set/Clear Active Bit 247" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE246 ,Set/Clear Active Bit 246" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE245 ,Set/Clear Active Bit 245" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE244 ,Set/Clear Active Bit 244" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE243 ,Set/Clear Active Bit 243" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE242 ,Set/Clear Active Bit 242" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE241 ,Set/Clear Active Bit 241" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE240 ,Set/Clear Active Bit 240" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE239 ,Set/Clear Active Bit 239" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE238 ,Set/Clear Active Bit 238" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE237 ,Set/Clear Active Bit 237" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE236 ,Set/Clear Active Bit 236" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE235 ,Set/Clear Active Bit 235" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE234 ,Set/Clear Active Bit 234" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE233 ,Set/Clear Active Bit 233" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE232 ,Set/Clear Active Bit 232" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE231 ,Set/Clear Active Bit 231" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE230 ,Set/Clear Active Bit 230" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE229 ,Set/Clear Active Bit 229" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE228 ,Set/Clear Active Bit 228" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE227 ,Set/Clear Active Bit 227" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE226 ,Set/Clear Active Bit 226" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE225 ,Set/Clear Active Bit 225" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE224 ,Set/Clear Active Bit 224" "Not active,Active" else rgroup.long 0x031C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER7,Interrupt Set/Clear Active Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x0320++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER8,Interrupt Set/Clear Active Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE287 ,Set/Clear Active Bit 287" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE286 ,Set/Clear Active Bit 286" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE285 ,Set/Clear Active Bit 285" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE284 ,Set/Clear Active Bit 284" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE283 ,Set/Clear Active Bit 283" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE282 ,Set/Clear Active Bit 282" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE281 ,Set/Clear Active Bit 281" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE280 ,Set/Clear Active Bit 280" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE279 ,Set/Clear Active Bit 279" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE278 ,Set/Clear Active Bit 278" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE277 ,Set/Clear Active Bit 277" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE276 ,Set/Clear Active Bit 276" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE275 ,Set/Clear Active Bit 275" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE274 ,Set/Clear Active Bit 274" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE273 ,Set/Clear Active Bit 273" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE272 ,Set/Clear Active Bit 272" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE271 ,Set/Clear Active Bit 271" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE270 ,Set/Clear Active Bit 270" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE269 ,Set/Clear Active Bit 269" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE268 ,Set/Clear Active Bit 268" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE267 ,Set/Clear Active Bit 267" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE266 ,Set/Clear Active Bit 266" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE265 ,Set/Clear Active Bit 265" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE264 ,Set/Clear Active Bit 264" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE263 ,Set/Clear Active Bit 263" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE262 ,Set/Clear Active Bit 262" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE261 ,Set/Clear Active Bit 261" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE260 ,Set/Clear Active Bit 260" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE259 ,Set/Clear Active Bit 259" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE258 ,Set/Clear Active Bit 258" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE257 ,Set/Clear Active Bit 257" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE256 ,Set/Clear Active Bit 256" "Not active,Active" else rgroup.long 0x0320++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER8,Interrupt Set/Clear Active Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x0324++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER9,Interrupt Set/Clear Active Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE319 ,Set/Clear Active Bit 319" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE318 ,Set/Clear Active Bit 318" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE317 ,Set/Clear Active Bit 317" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE316 ,Set/Clear Active Bit 316" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE315 ,Set/Clear Active Bit 315" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE314 ,Set/Clear Active Bit 314" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE313 ,Set/Clear Active Bit 313" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE312 ,Set/Clear Active Bit 312" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE311 ,Set/Clear Active Bit 311" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE310 ,Set/Clear Active Bit 310" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE309 ,Set/Clear Active Bit 309" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE308 ,Set/Clear Active Bit 308" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE307 ,Set/Clear Active Bit 307" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE306 ,Set/Clear Active Bit 306" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE305 ,Set/Clear Active Bit 305" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE304 ,Set/Clear Active Bit 304" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE303 ,Set/Clear Active Bit 303" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE302 ,Set/Clear Active Bit 302" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE301 ,Set/Clear Active Bit 301" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE300 ,Set/Clear Active Bit 300" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE299 ,Set/Clear Active Bit 299" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE298 ,Set/Clear Active Bit 298" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE297 ,Set/Clear Active Bit 297" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE296 ,Set/Clear Active Bit 296" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE295 ,Set/Clear Active Bit 295" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE294 ,Set/Clear Active Bit 294" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE293 ,Set/Clear Active Bit 293" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE292 ,Set/Clear Active Bit 292" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE291 ,Set/Clear Active Bit 291" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE290 ,Set/Clear Active Bit 290" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE289 ,Set/Clear Active Bit 289" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE288 ,Set/Clear Active Bit 288" "Not active,Active" else rgroup.long 0x0324++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER9,Interrupt Set/Clear Active Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x0328++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER10,Interrupt Set/Clear Active Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE351 ,Set/Clear Active Bit 351" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE350 ,Set/Clear Active Bit 350" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE349 ,Set/Clear Active Bit 349" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE348 ,Set/Clear Active Bit 348" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE347 ,Set/Clear Active Bit 347" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE346 ,Set/Clear Active Bit 346" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE345 ,Set/Clear Active Bit 345" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE344 ,Set/Clear Active Bit 344" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE343 ,Set/Clear Active Bit 343" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE342 ,Set/Clear Active Bit 342" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE341 ,Set/Clear Active Bit 341" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE340 ,Set/Clear Active Bit 340" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE339 ,Set/Clear Active Bit 339" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE338 ,Set/Clear Active Bit 338" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE337 ,Set/Clear Active Bit 337" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE336 ,Set/Clear Active Bit 336" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE335 ,Set/Clear Active Bit 335" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE334 ,Set/Clear Active Bit 334" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE333 ,Set/Clear Active Bit 333" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE332 ,Set/Clear Active Bit 332" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE331 ,Set/Clear Active Bit 331" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE330 ,Set/Clear Active Bit 330" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE329 ,Set/Clear Active Bit 329" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE328 ,Set/Clear Active Bit 328" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE327 ,Set/Clear Active Bit 327" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE326 ,Set/Clear Active Bit 326" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE325 ,Set/Clear Active Bit 325" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE324 ,Set/Clear Active Bit 324" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE323 ,Set/Clear Active Bit 323" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE322 ,Set/Clear Active Bit 322" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE321 ,Set/Clear Active Bit 321" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE320 ,Set/Clear Active Bit 320" "Not active,Active" else rgroup.long 0x0328++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER10,Interrupt Set/Clear Active Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x032C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER11,Interrupt Set/Clear Active Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE383 ,Set/Clear Active Bit 383" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE382 ,Set/Clear Active Bit 382" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE381 ,Set/Clear Active Bit 381" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE380 ,Set/Clear Active Bit 380" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE379 ,Set/Clear Active Bit 379" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE378 ,Set/Clear Active Bit 378" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE377 ,Set/Clear Active Bit 377" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE376 ,Set/Clear Active Bit 376" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE375 ,Set/Clear Active Bit 375" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE374 ,Set/Clear Active Bit 374" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE373 ,Set/Clear Active Bit 373" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE372 ,Set/Clear Active Bit 372" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE371 ,Set/Clear Active Bit 371" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE370 ,Set/Clear Active Bit 370" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE369 ,Set/Clear Active Bit 369" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE368 ,Set/Clear Active Bit 368" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE367 ,Set/Clear Active Bit 367" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE366 ,Set/Clear Active Bit 366" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE365 ,Set/Clear Active Bit 365" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE364 ,Set/Clear Active Bit 364" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE363 ,Set/Clear Active Bit 363" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE362 ,Set/Clear Active Bit 362" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE361 ,Set/Clear Active Bit 361" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE360 ,Set/Clear Active Bit 360" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE359 ,Set/Clear Active Bit 359" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE358 ,Set/Clear Active Bit 358" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE357 ,Set/Clear Active Bit 357" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE356 ,Set/Clear Active Bit 356" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE355 ,Set/Clear Active Bit 355" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE354 ,Set/Clear Active Bit 354" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE353 ,Set/Clear Active Bit 353" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE352 ,Set/Clear Active Bit 352" "Not active,Active" else rgroup.long 0x032C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER11,Interrupt Set/Clear Active Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x0330++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER12,Interrupt Set/Clear Active Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE415 ,Set/Clear Active Bit 415" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE414 ,Set/Clear Active Bit 414" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE413 ,Set/Clear Active Bit 413" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE412 ,Set/Clear Active Bit 412" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE411 ,Set/Clear Active Bit 411" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE410 ,Set/Clear Active Bit 410" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE409 ,Set/Clear Active Bit 409" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE408 ,Set/Clear Active Bit 408" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE407 ,Set/Clear Active Bit 407" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE406 ,Set/Clear Active Bit 406" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE405 ,Set/Clear Active Bit 405" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE404 ,Set/Clear Active Bit 404" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE403 ,Set/Clear Active Bit 403" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE402 ,Set/Clear Active Bit 402" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE401 ,Set/Clear Active Bit 401" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE400 ,Set/Clear Active Bit 400" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE399 ,Set/Clear Active Bit 399" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE398 ,Set/Clear Active Bit 398" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE397 ,Set/Clear Active Bit 397" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE396 ,Set/Clear Active Bit 396" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE395 ,Set/Clear Active Bit 395" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE394 ,Set/Clear Active Bit 394" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE393 ,Set/Clear Active Bit 393" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE392 ,Set/Clear Active Bit 392" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE391 ,Set/Clear Active Bit 391" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE390 ,Set/Clear Active Bit 390" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE389 ,Set/Clear Active Bit 389" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE388 ,Set/Clear Active Bit 388" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE387 ,Set/Clear Active Bit 387" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE386 ,Set/Clear Active Bit 386" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE385 ,Set/Clear Active Bit 385" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE384 ,Set/Clear Active Bit 384" "Not active,Active" else rgroup.long 0x0330++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER12,Interrupt Set/Clear Active Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x0334++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER13,Interrupt Set/Clear Active Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE447 ,Set/Clear Active Bit 447" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE446 ,Set/Clear Active Bit 446" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE445 ,Set/Clear Active Bit 445" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE444 ,Set/Clear Active Bit 444" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE443 ,Set/Clear Active Bit 443" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE442 ,Set/Clear Active Bit 442" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE441 ,Set/Clear Active Bit 441" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE440 ,Set/Clear Active Bit 440" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE439 ,Set/Clear Active Bit 439" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE438 ,Set/Clear Active Bit 438" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE437 ,Set/Clear Active Bit 437" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE436 ,Set/Clear Active Bit 436" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE435 ,Set/Clear Active Bit 435" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE434 ,Set/Clear Active Bit 434" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE433 ,Set/Clear Active Bit 433" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE432 ,Set/Clear Active Bit 432" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE431 ,Set/Clear Active Bit 431" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE430 ,Set/Clear Active Bit 430" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE429 ,Set/Clear Active Bit 429" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE428 ,Set/Clear Active Bit 428" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE427 ,Set/Clear Active Bit 427" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE426 ,Set/Clear Active Bit 426" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE425 ,Set/Clear Active Bit 425" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE424 ,Set/Clear Active Bit 424" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE423 ,Set/Clear Active Bit 423" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE422 ,Set/Clear Active Bit 422" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE421 ,Set/Clear Active Bit 421" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE420 ,Set/Clear Active Bit 420" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE419 ,Set/Clear Active Bit 419" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE418 ,Set/Clear Active Bit 418" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE417 ,Set/Clear Active Bit 417" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE416 ,Set/Clear Active Bit 416" "Not active,Active" else rgroup.long 0x0334++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER13,Interrupt Set/Clear Active Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x0338++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER14,Interrupt Set/Clear Active Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE479 ,Set/Clear Active Bit 479" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE478 ,Set/Clear Active Bit 478" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE477 ,Set/Clear Active Bit 477" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE476 ,Set/Clear Active Bit 476" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE475 ,Set/Clear Active Bit 475" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE474 ,Set/Clear Active Bit 474" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE473 ,Set/Clear Active Bit 473" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE472 ,Set/Clear Active Bit 472" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE471 ,Set/Clear Active Bit 471" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE470 ,Set/Clear Active Bit 470" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE469 ,Set/Clear Active Bit 469" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE468 ,Set/Clear Active Bit 468" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE467 ,Set/Clear Active Bit 467" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE466 ,Set/Clear Active Bit 466" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE465 ,Set/Clear Active Bit 465" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE464 ,Set/Clear Active Bit 464" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE463 ,Set/Clear Active Bit 463" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE462 ,Set/Clear Active Bit 462" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE461 ,Set/Clear Active Bit 461" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE460 ,Set/Clear Active Bit 460" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE459 ,Set/Clear Active Bit 459" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE458 ,Set/Clear Active Bit 458" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE457 ,Set/Clear Active Bit 457" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE456 ,Set/Clear Active Bit 456" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE455 ,Set/Clear Active Bit 455" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE454 ,Set/Clear Active Bit 454" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE453 ,Set/Clear Active Bit 453" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE452 ,Set/Clear Active Bit 452" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE451 ,Set/Clear Active Bit 451" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE450 ,Set/Clear Active Bit 450" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE449 ,Set/Clear Active Bit 449" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE448 ,Set/Clear Active Bit 448" "Not active,Active" else rgroup.long 0x0338++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER14,Interrupt Set/Clear Active Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x033C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER15,Interrupt Set/Clear Active Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE511 ,Set/Clear Active Bit 511" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE510 ,Set/Clear Active Bit 510" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE509 ,Set/Clear Active Bit 509" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE508 ,Set/Clear Active Bit 508" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE507 ,Set/Clear Active Bit 507" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE506 ,Set/Clear Active Bit 506" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE505 ,Set/Clear Active Bit 505" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE504 ,Set/Clear Active Bit 504" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE503 ,Set/Clear Active Bit 503" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE502 ,Set/Clear Active Bit 502" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE501 ,Set/Clear Active Bit 501" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE500 ,Set/Clear Active Bit 500" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE499 ,Set/Clear Active Bit 499" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE498 ,Set/Clear Active Bit 498" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE497 ,Set/Clear Active Bit 497" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE496 ,Set/Clear Active Bit 496" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE495 ,Set/Clear Active Bit 495" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE494 ,Set/Clear Active Bit 494" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE493 ,Set/Clear Active Bit 493" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE492 ,Set/Clear Active Bit 492" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE491 ,Set/Clear Active Bit 491" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE490 ,Set/Clear Active Bit 490" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE489 ,Set/Clear Active Bit 489" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE488 ,Set/Clear Active Bit 488" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE487 ,Set/Clear Active Bit 487" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE486 ,Set/Clear Active Bit 486" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE485 ,Set/Clear Active Bit 485" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE484 ,Set/Clear Active Bit 484" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE483 ,Set/Clear Active Bit 483" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE482 ,Set/Clear Active Bit 482" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE481 ,Set/Clear Active Bit 481" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE480 ,Set/Clear Active Bit 480" "Not active,Active" else rgroup.long 0x033C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER15,Interrupt Set/Clear Active Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif rgroup.long 0x0340++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER16,Interrupt Set/Clear Active Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0344++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER17,Interrupt Set/Clear Active Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0348++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER18,Interrupt Set/Clear Active Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x034C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER19,Interrupt Set/Clear Active Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0350++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER20,Interrupt Set/Clear Active Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0354++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER21,Interrupt Set/Clear Active Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0358++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER22,Interrupt Set/Clear Active Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x035C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER23,Interrupt Set/Clear Active Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0360++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER24,Interrupt Set/Clear Active Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0364++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER25,Interrupt Set/Clear Active Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0368++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER26,Interrupt Set/Clear Active Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x036C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER27,Interrupt Set/Clear Active Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0370++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER28,Interrupt Set/Clear Active Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0374++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER29,Interrupt Set/Clear Active Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x0378++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER30,Interrupt Set/Clear Active Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " rgroup.long 0x037C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER31,Interrupt Set/Clear Active Register 31" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif tree.end tree "Priority Registers" group.long 0x400++0x03 line.long 0x00 "GICD_IPRIORITYR0,Interrupt Priority Register 0" hexmask.long.byte 0x00 24.--31. 1. " INTID3 ,Interrupt ID3 Priority/Priority Byte Offset 3 " hexmask.long.byte 0x00 16.--23. 1. " INTID2 ,Interrupt ID2 Priority/Priority Byte Offset 2 " hexmask.long.byte 0x00 8.--15. 1. " INTID1 ,Interrupt ID1 Priority/Priority Byte Offset 1 " hexmask.long.byte 0x00 0.--7. 1. " INTID0 ,Interrupt ID0 Priority/Priority Byte Offset 0 " group.long 0x404++0x03 line.long 0x00 "GICD_IPRIORITYR1,Interrupt Priority Register 1" hexmask.long.byte 0x00 24.--31. 1. " INTID7 ,Interrupt ID7 Priority/Priority Byte Offset 7 " hexmask.long.byte 0x00 16.--23. 1. " INTID6 ,Interrupt ID6 Priority/Priority Byte Offset 6 " hexmask.long.byte 0x00 8.--15. 1. " INTID5 ,Interrupt ID5 Priority/Priority Byte Offset 5 " hexmask.long.byte 0x00 0.--7. 1. " INTID4 ,Interrupt ID4 Priority/Priority Byte Offset 4 " group.long 0x408++0x03 line.long 0x00 "GICD_IPRIORITYR2,Interrupt Priority Register 2" hexmask.long.byte 0x00 24.--31. 1. " INTID11 ,Interrupt ID11 Priority/Priority Byte Offset 11 " hexmask.long.byte 0x00 16.--23. 1. " INTID10 ,Interrupt ID10 Priority/Priority Byte Offset 10 " hexmask.long.byte 0x00 8.--15. 1. " INTID9 ,Interrupt ID9 Priority/Priority Byte Offset 9 " hexmask.long.byte 0x00 0.--7. 1. " INTID8 ,Interrupt ID8 Priority/Priority Byte Offset 8 " group.long 0x40C++0x03 line.long 0x00 "GICD_IPRIORITYR3,Interrupt Priority Register 3" hexmask.long.byte 0x00 24.--31. 1. " INTID15 ,Interrupt ID15 Priority/Priority Byte Offset 15 " hexmask.long.byte 0x00 16.--23. 1. " INTID14 ,Interrupt ID14 Priority/Priority Byte Offset 14 " hexmask.long.byte 0x00 8.--15. 1. " INTID13 ,Interrupt ID13 Priority/Priority Byte Offset 13 " hexmask.long.byte 0x00 0.--7. 1. " INTID12 ,Interrupt ID12 Priority/Priority Byte Offset 12 " group.long 0x410++0x03 line.long 0x00 "GICD_IPRIORITYR4,Interrupt Priority Register 4" hexmask.long.byte 0x00 24.--31. 1. " INTID19 ,Interrupt ID19 Priority/Priority Byte Offset 19 " hexmask.long.byte 0x00 16.--23. 1. " INTID18 ,Interrupt ID18 Priority/Priority Byte Offset 18 " hexmask.long.byte 0x00 8.--15. 1. " INTID17 ,Interrupt ID17 Priority/Priority Byte Offset 17 " hexmask.long.byte 0x00 0.--7. 1. " INTID16 ,Interrupt ID16 Priority/Priority Byte Offset 16 " group.long 0x414++0x03 line.long 0x00 "GICD_IPRIORITYR5,Interrupt Priority Register 5" hexmask.long.byte 0x00 24.--31. 1. " INTID23 ,Interrupt ID23 Priority/Priority Byte Offset 23 " hexmask.long.byte 0x00 16.--23. 1. " INTID22 ,Interrupt ID22 Priority/Priority Byte Offset 22 " hexmask.long.byte 0x00 8.--15. 1. " INTID21 ,Interrupt ID21 Priority/Priority Byte Offset 21 " hexmask.long.byte 0x00 0.--7. 1. " INTID20 ,Interrupt ID20 Priority/Priority Byte Offset 20 " group.long 0x418++0x03 line.long 0x00 "GICD_IPRIORITYR6,Interrupt Priority Register 6" hexmask.long.byte 0x00 24.--31. 1. " INTID27 ,Interrupt ID27 Priority/Priority Byte Offset 27 " hexmask.long.byte 0x00 16.--23. 1. " INTID26 ,Interrupt ID26 Priority/Priority Byte Offset 26 " hexmask.long.byte 0x00 8.--15. 1. " INTID25 ,Interrupt ID25 Priority/Priority Byte Offset 25 " hexmask.long.byte 0x00 0.--7. 1. " INTID24 ,Interrupt ID24 Priority/Priority Byte Offset 24 " group.long 0x41C++0x03 line.long 0x00 "GICD_IPRIORITYR7,Interrupt Priority Register 7" hexmask.long.byte 0x00 24.--31. 1. " INTID31 ,Interrupt ID31 Priority/Priority Byte Offset 31 " hexmask.long.byte 0x00 16.--23. 1. " INTID30 ,Interrupt ID30 Priority/Priority Byte Offset 30 " hexmask.long.byte 0x00 8.--15. 1. " INTID29 ,Interrupt ID29 Priority/Priority Byte Offset 29 " hexmask.long.byte 0x00 0.--7. 1. " INTID28 ,Interrupt ID28 Priority/Priority Byte Offset 28 " if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x420++0x03 line.long 0x00 "GICD_IPRIORITYR8,Interrupt Priority Register 8" hexmask.long.byte 0x00 24.--31. 1. " INTID35 ,Interrupt ID35 Priority/Priority Byte Offset 35 " hexmask.long.byte 0x00 16.--23. 1. " INTID34 ,Interrupt ID34 Priority/Priority Byte Offset 34 " hexmask.long.byte 0x00 8.--15. 1. " INTID33 ,Interrupt ID33 Priority/Priority Byte Offset 33 " hexmask.long.byte 0x00 0.--7. 1. " INTID32 ,Interrupt ID32 Priority/Priority Byte Offset 32 " group.long 0x424++0x03 line.long 0x00 "GICD_IPRIORITYR9,Interrupt Priority Register 9" hexmask.long.byte 0x00 24.--31. 1. " INTID39 ,Interrupt ID39 Priority/Priority Byte Offset 39 " hexmask.long.byte 0x00 16.--23. 1. " INTID38 ,Interrupt ID38 Priority/Priority Byte Offset 38 " hexmask.long.byte 0x00 8.--15. 1. " INTID37 ,Interrupt ID37 Priority/Priority Byte Offset 37 " hexmask.long.byte 0x00 0.--7. 1. " INTID36 ,Interrupt ID36 Priority/Priority Byte Offset 36 " group.long 0x428++0x03 line.long 0x00 "GICD_IPRIORITYR10,Interrupt Priority Register 10" hexmask.long.byte 0x00 24.--31. 1. " INTID43 ,Interrupt ID43 Priority/Priority Byte Offset 43 " hexmask.long.byte 0x00 16.--23. 1. " INTID42 ,Interrupt ID42 Priority/Priority Byte Offset 42 " hexmask.long.byte 0x00 8.--15. 1. " INTID41 ,Interrupt ID41 Priority/Priority Byte Offset 41 " hexmask.long.byte 0x00 0.--7. 1. " INTID40 ,Interrupt ID40 Priority/Priority Byte Offset 40 " group.long 0x42C++0x03 line.long 0x00 "GICD_IPRIORITYR11,Interrupt Priority Register 11" hexmask.long.byte 0x00 24.--31. 1. " INTID47 ,Interrupt ID47 Priority/Priority Byte Offset 47 " hexmask.long.byte 0x00 16.--23. 1. " INTID46 ,Interrupt ID46 Priority/Priority Byte Offset 46 " hexmask.long.byte 0x00 8.--15. 1. " INTID45 ,Interrupt ID45 Priority/Priority Byte Offset 45 " hexmask.long.byte 0x00 0.--7. 1. " INTID44 ,Interrupt ID44 Priority/Priority Byte Offset 44 " group.long 0x430++0x03 line.long 0x00 "GICD_IPRIORITYR12,Interrupt Priority Register 12" hexmask.long.byte 0x00 24.--31. 1. " INTID51 ,Interrupt ID51 Priority/Priority Byte Offset 51 " hexmask.long.byte 0x00 16.--23. 1. " INTID50 ,Interrupt ID50 Priority/Priority Byte Offset 50 " hexmask.long.byte 0x00 8.--15. 1. " INTID49 ,Interrupt ID49 Priority/Priority Byte Offset 49 " hexmask.long.byte 0x00 0.--7. 1. " INTID48 ,Interrupt ID48 Priority/Priority Byte Offset 48 " group.long 0x434++0x03 line.long 0x00 "GICD_IPRIORITYR13,Interrupt Priority Register 13" hexmask.long.byte 0x00 24.--31. 1. " INTID55 ,Interrupt ID55 Priority/Priority Byte Offset 55 " hexmask.long.byte 0x00 16.--23. 1. " INTID54 ,Interrupt ID54 Priority/Priority Byte Offset 54 " hexmask.long.byte 0x00 8.--15. 1. " INTID53 ,Interrupt ID53 Priority/Priority Byte Offset 53 " hexmask.long.byte 0x00 0.--7. 1. " INTID52 ,Interrupt ID52 Priority/Priority Byte Offset 52 " group.long 0x438++0x03 line.long 0x00 "GICD_IPRIORITYR14,Interrupt Priority Register 14" hexmask.long.byte 0x00 24.--31. 1. " INTID59 ,Interrupt ID59 Priority/Priority Byte Offset 59 " hexmask.long.byte 0x00 16.--23. 1. " INTID58 ,Interrupt ID58 Priority/Priority Byte Offset 58 " hexmask.long.byte 0x00 8.--15. 1. " INTID57 ,Interrupt ID57 Priority/Priority Byte Offset 57 " hexmask.long.byte 0x00 0.--7. 1. " INTID56 ,Interrupt ID56 Priority/Priority Byte Offset 56 " group.long 0x43C++0x03 line.long 0x00 "GICD_IPRIORITYR15,Interrupt Priority Register 15" hexmask.long.byte 0x00 24.--31. 1. " INTID63 ,Interrupt ID63 Priority/Priority Byte Offset 63 " hexmask.long.byte 0x00 16.--23. 1. " INTID62 ,Interrupt ID62 Priority/Priority Byte Offset 62 " hexmask.long.byte 0x00 8.--15. 1. " INTID61 ,Interrupt ID61 Priority/Priority Byte Offset 61 " hexmask.long.byte 0x00 0.--7. 1. " INTID60 ,Interrupt ID60 Priority/Priority Byte Offset 60 " else rgroup.long 0x420++0x03 line.long 0x00 "GICD_IPRIORITYR8,Interrupt Priority Register 8" rgroup.long 0x424++0x03 line.long 0x00 "GICD_IPRIORITYR9,Interrupt Priority Register 9" rgroup.long 0x428++0x03 line.long 0x00 "GICD_IPRIORITYR10,Interrupt Priority Register 10" rgroup.long 0x42C++0x03 line.long 0x00 "GICD_IPRIORITYR11,Interrupt Priority Register 11" rgroup.long 0x430++0x03 line.long 0x00 "GICD_IPRIORITYR12,Interrupt Priority Register 12" rgroup.long 0x434++0x03 line.long 0x00 "GICD_IPRIORITYR13,Interrupt Priority Register 13" rgroup.long 0x438++0x03 line.long 0x00 "GICD_IPRIORITYR14,Interrupt Priority Register 14" rgroup.long 0x43C++0x03 line.long 0x00 "GICD_IPRIORITYR15,Interrupt Priority Register 15" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x440++0x03 line.long 0x00 "GICD_IPRIORITYR16,Interrupt Priority Register 16" hexmask.long.byte 0x00 24.--31. 1. " INTID67 ,Interrupt ID67 Priority/Priority Byte Offset 67 " hexmask.long.byte 0x00 16.--23. 1. " INTID66 ,Interrupt ID66 Priority/Priority Byte Offset 66 " hexmask.long.byte 0x00 8.--15. 1. " INTID65 ,Interrupt ID65 Priority/Priority Byte Offset 65 " hexmask.long.byte 0x00 0.--7. 1. " INTID64 ,Interrupt ID64 Priority/Priority Byte Offset 64 " group.long 0x444++0x03 line.long 0x00 "GICD_IPRIORITYR17,Interrupt Priority Register 17" hexmask.long.byte 0x00 24.--31. 1. " INTID71 ,Interrupt ID71 Priority/Priority Byte Offset 71 " hexmask.long.byte 0x00 16.--23. 1. " INTID70 ,Interrupt ID70 Priority/Priority Byte Offset 70 " hexmask.long.byte 0x00 8.--15. 1. " INTID69 ,Interrupt ID69 Priority/Priority Byte Offset 69 " hexmask.long.byte 0x00 0.--7. 1. " INTID68 ,Interrupt ID68 Priority/Priority Byte Offset 68 " group.long 0x448++0x03 line.long 0x00 "GICD_IPRIORITYR18,Interrupt Priority Register 18" hexmask.long.byte 0x00 24.--31. 1. " INTID75 ,Interrupt ID75 Priority/Priority Byte Offset 75 " hexmask.long.byte 0x00 16.--23. 1. " INTID74 ,Interrupt ID74 Priority/Priority Byte Offset 74 " hexmask.long.byte 0x00 8.--15. 1. " INTID73 ,Interrupt ID73 Priority/Priority Byte Offset 73 " hexmask.long.byte 0x00 0.--7. 1. " INTID72 ,Interrupt ID72 Priority/Priority Byte Offset 72 " group.long 0x44C++0x03 line.long 0x00 "GICD_IPRIORITYR19,Interrupt Priority Register 19" hexmask.long.byte 0x00 24.--31. 1. " INTID79 ,Interrupt ID79 Priority/Priority Byte Offset 79 " hexmask.long.byte 0x00 16.--23. 1. " INTID78 ,Interrupt ID78 Priority/Priority Byte Offset 78 " hexmask.long.byte 0x00 8.--15. 1. " INTID77 ,Interrupt ID77 Priority/Priority Byte Offset 77 " hexmask.long.byte 0x00 0.--7. 1. " INTID76 ,Interrupt ID76 Priority/Priority Byte Offset 76 " group.long 0x450++0x03 line.long 0x00 "GICD_IPRIORITYR20,Interrupt Priority Register 20" hexmask.long.byte 0x00 24.--31. 1. " INTID83 ,Interrupt ID83 Priority/Priority Byte Offset 83 " hexmask.long.byte 0x00 16.--23. 1. " INTID82 ,Interrupt ID82 Priority/Priority Byte Offset 82 " hexmask.long.byte 0x00 8.--15. 1. " INTID81 ,Interrupt ID81 Priority/Priority Byte Offset 81 " hexmask.long.byte 0x00 0.--7. 1. " INTID80 ,Interrupt ID80 Priority/Priority Byte Offset 80 " group.long 0x454++0x03 line.long 0x00 "GICD_IPRIORITYR21,Interrupt Priority Register 21" hexmask.long.byte 0x00 24.--31. 1. " INTID87 ,Interrupt ID87 Priority/Priority Byte Offset 87 " hexmask.long.byte 0x00 16.--23. 1. " INTID86 ,Interrupt ID86 Priority/Priority Byte Offset 86 " hexmask.long.byte 0x00 8.--15. 1. " INTID85 ,Interrupt ID85 Priority/Priority Byte Offset 85 " hexmask.long.byte 0x00 0.--7. 1. " INTID84 ,Interrupt ID84 Priority/Priority Byte Offset 84 " group.long 0x458++0x03 line.long 0x00 "GICD_IPRIORITYR22,Interrupt Priority Register 22" hexmask.long.byte 0x00 24.--31. 1. " INTID91 ,Interrupt ID91 Priority/Priority Byte Offset 91 " hexmask.long.byte 0x00 16.--23. 1. " INTID90 ,Interrupt ID90 Priority/Priority Byte Offset 90 " hexmask.long.byte 0x00 8.--15. 1. " INTID89 ,Interrupt ID89 Priority/Priority Byte Offset 89 " hexmask.long.byte 0x00 0.--7. 1. " INTID88 ,Interrupt ID88 Priority/Priority Byte Offset 88 " group.long 0x45C++0x03 line.long 0x00 "GICD_IPRIORITYR23,Interrupt Priority Register 23" hexmask.long.byte 0x00 24.--31. 1. " INTID95 ,Interrupt ID95 Priority/Priority Byte Offset 95 " hexmask.long.byte 0x00 16.--23. 1. " INTID94 ,Interrupt ID94 Priority/Priority Byte Offset 94 " hexmask.long.byte 0x00 8.--15. 1. " INTID93 ,Interrupt ID93 Priority/Priority Byte Offset 93 " hexmask.long.byte 0x00 0.--7. 1. " INTID92 ,Interrupt ID92 Priority/Priority Byte Offset 92 " else rgroup.long 0x440++0x03 line.long 0x00 "GICD_IPRIORITYR16,Interrupt Priority Register 16" rgroup.long 0x444++0x03 line.long 0x00 "GICD_IPRIORITYR17,Interrupt Priority Register 17" rgroup.long 0x448++0x03 line.long 0x00 "GICD_IPRIORITYR18,Interrupt Priority Register 18" rgroup.long 0x44C++0x03 line.long 0x00 "GICD_IPRIORITYR19,Interrupt Priority Register 19" rgroup.long 0x450++0x03 line.long 0x00 "GICD_IPRIORITYR20,Interrupt Priority Register 20" rgroup.long 0x454++0x03 line.long 0x00 "GICD_IPRIORITYR21,Interrupt Priority Register 21" rgroup.long 0x458++0x03 line.long 0x00 "GICD_IPRIORITYR22,Interrupt Priority Register 22" rgroup.long 0x45C++0x03 line.long 0x00 "GICD_IPRIORITYR23,Interrupt Priority Register 23" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x460++0x03 line.long 0x00 "GICD_IPRIORITYR24,Interrupt Priority Register 24" hexmask.long.byte 0x00 24.--31. 1. " INTID99 ,Interrupt ID99 Priority/Priority Byte Offset 99 " hexmask.long.byte 0x00 16.--23. 1. " INTID98 ,Interrupt ID98 Priority/Priority Byte Offset 98 " hexmask.long.byte 0x00 8.--15. 1. " INTID97 ,Interrupt ID97 Priority/Priority Byte Offset 97 " hexmask.long.byte 0x00 0.--7. 1. " INTID96 ,Interrupt ID96 Priority/Priority Byte Offset 96 " group.long 0x464++0x03 line.long 0x00 "GICD_IPRIORITYR25,Interrupt Priority Register 25" hexmask.long.byte 0x00 24.--31. 1. " INTID103 ,Interrupt ID103 Priority/Priority Byte Offset 103 " hexmask.long.byte 0x00 16.--23. 1. " INTID102 ,Interrupt ID102 Priority/Priority Byte Offset 102 " hexmask.long.byte 0x00 8.--15. 1. " INTID101 ,Interrupt ID101 Priority/Priority Byte Offset 101 " hexmask.long.byte 0x00 0.--7. 1. " INTID100 ,Interrupt ID100 Priority/Priority Byte Offset 100 " group.long 0x468++0x03 line.long 0x00 "GICD_IPRIORITYR26,Interrupt Priority Register 26" hexmask.long.byte 0x00 24.--31. 1. " INTID107 ,Interrupt ID107 Priority/Priority Byte Offset 107 " hexmask.long.byte 0x00 16.--23. 1. " INTID106 ,Interrupt ID106 Priority/Priority Byte Offset 106 " hexmask.long.byte 0x00 8.--15. 1. " INTID105 ,Interrupt ID105 Priority/Priority Byte Offset 105 " hexmask.long.byte 0x00 0.--7. 1. " INTID104 ,Interrupt ID104 Priority/Priority Byte Offset 104 " group.long 0x46C++0x03 line.long 0x00 "GICD_IPRIORITYR27,Interrupt Priority Register 27" hexmask.long.byte 0x00 24.--31. 1. " INTID111 ,Interrupt ID111 Priority/Priority Byte Offset 111 " hexmask.long.byte 0x00 16.--23. 1. " INTID110 ,Interrupt ID110 Priority/Priority Byte Offset 110 " hexmask.long.byte 0x00 8.--15. 1. " INTID109 ,Interrupt ID109 Priority/Priority Byte Offset 109 " hexmask.long.byte 0x00 0.--7. 1. " INTID108 ,Interrupt ID108 Priority/Priority Byte Offset 108 " group.long 0x470++0x03 line.long 0x00 "GICD_IPRIORITYR28,Interrupt Priority Register 28" hexmask.long.byte 0x00 24.--31. 1. " INTID115 ,Interrupt ID115 Priority/Priority Byte Offset 115 " hexmask.long.byte 0x00 16.--23. 1. " INTID114 ,Interrupt ID114 Priority/Priority Byte Offset 114 " hexmask.long.byte 0x00 8.--15. 1. " INTID113 ,Interrupt ID113 Priority/Priority Byte Offset 113 " hexmask.long.byte 0x00 0.--7. 1. " INTID112 ,Interrupt ID112 Priority/Priority Byte Offset 112 " group.long 0x474++0x03 line.long 0x00 "GICD_IPRIORITYR29,Interrupt Priority Register 29" hexmask.long.byte 0x00 24.--31. 1. " INTID119 ,Interrupt ID119 Priority/Priority Byte Offset 119 " hexmask.long.byte 0x00 16.--23. 1. " INTID118 ,Interrupt ID118 Priority/Priority Byte Offset 118 " hexmask.long.byte 0x00 8.--15. 1. " INTID117 ,Interrupt ID117 Priority/Priority Byte Offset 117 " hexmask.long.byte 0x00 0.--7. 1. " INTID116 ,Interrupt ID116 Priority/Priority Byte Offset 116 " group.long 0x478++0x03 line.long 0x00 "GICD_IPRIORITYR30,Interrupt Priority Register 30" hexmask.long.byte 0x00 24.--31. 1. " INTID123 ,Interrupt ID123 Priority/Priority Byte Offset 123 " hexmask.long.byte 0x00 16.--23. 1. " INTID122 ,Interrupt ID122 Priority/Priority Byte Offset 122 " hexmask.long.byte 0x00 8.--15. 1. " INTID121 ,Interrupt ID121 Priority/Priority Byte Offset 121 " hexmask.long.byte 0x00 0.--7. 1. " INTID120 ,Interrupt ID120 Priority/Priority Byte Offset 120 " group.long 0x47C++0x03 line.long 0x00 "GICD_IPRIORITYR31,Interrupt Priority Register 31" hexmask.long.byte 0x00 24.--31. 1. " INTID127 ,Interrupt ID127 Priority/Priority Byte Offset 127 " hexmask.long.byte 0x00 16.--23. 1. " INTID126 ,Interrupt ID126 Priority/Priority Byte Offset 126 " hexmask.long.byte 0x00 8.--15. 1. " INTID125 ,Interrupt ID125 Priority/Priority Byte Offset 125 " hexmask.long.byte 0x00 0.--7. 1. " INTID124 ,Interrupt ID124 Priority/Priority Byte Offset 124 " else rgroup.long 0x460++0x03 line.long 0x00 "GICD_IPRIORITYR24,Interrupt Priority Register 24" rgroup.long 0x464++0x03 line.long 0x00 "GICD_IPRIORITYR25,Interrupt Priority Register 25" rgroup.long 0x468++0x03 line.long 0x00 "GICD_IPRIORITYR26,Interrupt Priority Register 26" rgroup.long 0x46C++0x03 line.long 0x00 "GICD_IPRIORITYR27,Interrupt Priority Register 27" rgroup.long 0x470++0x03 line.long 0x00 "GICD_IPRIORITYR28,Interrupt Priority Register 28" rgroup.long 0x474++0x03 line.long 0x00 "GICD_IPRIORITYR29,Interrupt Priority Register 29" rgroup.long 0x478++0x03 line.long 0x00 "GICD_IPRIORITYR30,Interrupt Priority Register 30" rgroup.long 0x47C++0x03 line.long 0x00 "GICD_IPRIORITYR31,Interrupt Priority Register 31" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x480++0x03 line.long 0x00 "GICD_IPRIORITYR32,Interrupt Priority Register 32" hexmask.long.byte 0x00 24.--31. 1. " INTID131 ,Interrupt ID131 Priority/Priority Byte Offset 131 " hexmask.long.byte 0x00 16.--23. 1. " INTID130 ,Interrupt ID130 Priority/Priority Byte Offset 130 " hexmask.long.byte 0x00 8.--15. 1. " INTID129 ,Interrupt ID129 Priority/Priority Byte Offset 129 " hexmask.long.byte 0x00 0.--7. 1. " INTID128 ,Interrupt ID128 Priority/Priority Byte Offset 128 " group.long 0x484++0x03 line.long 0x00 "GICD_IPRIORITYR33,Interrupt Priority Register 33" hexmask.long.byte 0x00 24.--31. 1. " INTID135 ,Interrupt ID135 Priority/Priority Byte Offset 135 " hexmask.long.byte 0x00 16.--23. 1. " INTID134 ,Interrupt ID134 Priority/Priority Byte Offset 134 " hexmask.long.byte 0x00 8.--15. 1. " INTID133 ,Interrupt ID133 Priority/Priority Byte Offset 133 " hexmask.long.byte 0x00 0.--7. 1. " INTID132 ,Interrupt ID132 Priority/Priority Byte Offset 132 " group.long 0x488++0x03 line.long 0x00 "GICD_IPRIORITYR34,Interrupt Priority Register 34" hexmask.long.byte 0x00 24.--31. 1. " INTID139 ,Interrupt ID139 Priority/Priority Byte Offset 139 " hexmask.long.byte 0x00 16.--23. 1. " INTID138 ,Interrupt ID138 Priority/Priority Byte Offset 138 " hexmask.long.byte 0x00 8.--15. 1. " INTID137 ,Interrupt ID137 Priority/Priority Byte Offset 137 " hexmask.long.byte 0x00 0.--7. 1. " INTID136 ,Interrupt ID136 Priority/Priority Byte Offset 136 " group.long 0x48C++0x03 line.long 0x00 "GICD_IPRIORITYR35,Interrupt Priority Register 35" hexmask.long.byte 0x00 24.--31. 1. " INTID143 ,Interrupt ID143 Priority/Priority Byte Offset 143 " hexmask.long.byte 0x00 16.--23. 1. " INTID142 ,Interrupt ID142 Priority/Priority Byte Offset 142 " hexmask.long.byte 0x00 8.--15. 1. " INTID141 ,Interrupt ID141 Priority/Priority Byte Offset 141 " hexmask.long.byte 0x00 0.--7. 1. " INTID140 ,Interrupt ID140 Priority/Priority Byte Offset 140 " group.long 0x490++0x03 line.long 0x00 "GICD_IPRIORITYR36,Interrupt Priority Register 36" hexmask.long.byte 0x00 24.--31. 1. " INTID147 ,Interrupt ID147 Priority/Priority Byte Offset 147 " hexmask.long.byte 0x00 16.--23. 1. " INTID146 ,Interrupt ID146 Priority/Priority Byte Offset 146 " hexmask.long.byte 0x00 8.--15. 1. " INTID145 ,Interrupt ID145 Priority/Priority Byte Offset 145 " hexmask.long.byte 0x00 0.--7. 1. " INTID144 ,Interrupt ID144 Priority/Priority Byte Offset 144 " group.long 0x494++0x03 line.long 0x00 "GICD_IPRIORITYR37,Interrupt Priority Register 37" hexmask.long.byte 0x00 24.--31. 1. " INTID151 ,Interrupt ID151 Priority/Priority Byte Offset 151 " hexmask.long.byte 0x00 16.--23. 1. " INTID150 ,Interrupt ID150 Priority/Priority Byte Offset 150 " hexmask.long.byte 0x00 8.--15. 1. " INTID149 ,Interrupt ID149 Priority/Priority Byte Offset 149 " hexmask.long.byte 0x00 0.--7. 1. " INTID148 ,Interrupt ID148 Priority/Priority Byte Offset 148 " group.long 0x498++0x03 line.long 0x00 "GICD_IPRIORITYR38,Interrupt Priority Register 38" hexmask.long.byte 0x00 24.--31. 1. " INTID155 ,Interrupt ID155 Priority/Priority Byte Offset 155 " hexmask.long.byte 0x00 16.--23. 1. " INTID154 ,Interrupt ID154 Priority/Priority Byte Offset 154 " hexmask.long.byte 0x00 8.--15. 1. " INTID153 ,Interrupt ID153 Priority/Priority Byte Offset 153 " hexmask.long.byte 0x00 0.--7. 1. " INTID152 ,Interrupt ID152 Priority/Priority Byte Offset 152 " group.long 0x49C++0x03 line.long 0x00 "GICD_IPRIORITYR39,Interrupt Priority Register 39" hexmask.long.byte 0x00 24.--31. 1. " INTID159 ,Interrupt ID159 Priority/Priority Byte Offset 159 " hexmask.long.byte 0x00 16.--23. 1. " INTID158 ,Interrupt ID158 Priority/Priority Byte Offset 158 " hexmask.long.byte 0x00 8.--15. 1. " INTID157 ,Interrupt ID157 Priority/Priority Byte Offset 157 " hexmask.long.byte 0x00 0.--7. 1. " INTID156 ,Interrupt ID156 Priority/Priority Byte Offset 156 " else rgroup.long 0x480++0x03 line.long 0x00 "GICD_IPRIORITYR32,Interrupt Priority Register 32" rgroup.long 0x484++0x03 line.long 0x00 "GICD_IPRIORITYR33,Interrupt Priority Register 33" rgroup.long 0x488++0x03 line.long 0x00 "GICD_IPRIORITYR34,Interrupt Priority Register 34" rgroup.long 0x48C++0x03 line.long 0x00 "GICD_IPRIORITYR35,Interrupt Priority Register 35" rgroup.long 0x490++0x03 line.long 0x00 "GICD_IPRIORITYR36,Interrupt Priority Register 36" rgroup.long 0x494++0x03 line.long 0x00 "GICD_IPRIORITYR37,Interrupt Priority Register 37" rgroup.long 0x498++0x03 line.long 0x00 "GICD_IPRIORITYR38,Interrupt Priority Register 38" rgroup.long 0x49C++0x03 line.long 0x00 "GICD_IPRIORITYR39,Interrupt Priority Register 39" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x4A0++0x03 line.long 0x00 "GICD_IPRIORITYR40,Interrupt Priority Register 40" hexmask.long.byte 0x00 24.--31. 1. " INTID163 ,Interrupt ID163 Priority/Priority Byte Offset 163 " hexmask.long.byte 0x00 16.--23. 1. " INTID162 ,Interrupt ID162 Priority/Priority Byte Offset 162 " hexmask.long.byte 0x00 8.--15. 1. " INTID161 ,Interrupt ID161 Priority/Priority Byte Offset 161 " hexmask.long.byte 0x00 0.--7. 1. " INTID160 ,Interrupt ID160 Priority/Priority Byte Offset 160 " group.long 0x4A4++0x03 line.long 0x00 "GICD_IPRIORITYR41,Interrupt Priority Register 41" hexmask.long.byte 0x00 24.--31. 1. " INTID167 ,Interrupt ID167 Priority/Priority Byte Offset 167 " hexmask.long.byte 0x00 16.--23. 1. " INTID166 ,Interrupt ID166 Priority/Priority Byte Offset 166 " hexmask.long.byte 0x00 8.--15. 1. " INTID165 ,Interrupt ID165 Priority/Priority Byte Offset 165 " hexmask.long.byte 0x00 0.--7. 1. " INTID164 ,Interrupt ID164 Priority/Priority Byte Offset 164 " group.long 0x4A8++0x03 line.long 0x00 "GICD_IPRIORITYR42,Interrupt Priority Register 42" hexmask.long.byte 0x00 24.--31. 1. " INTID171 ,Interrupt ID171 Priority/Priority Byte Offset 171 " hexmask.long.byte 0x00 16.--23. 1. " INTID170 ,Interrupt ID170 Priority/Priority Byte Offset 170 " hexmask.long.byte 0x00 8.--15. 1. " INTID169 ,Interrupt ID169 Priority/Priority Byte Offset 169 " hexmask.long.byte 0x00 0.--7. 1. " INTID168 ,Interrupt ID168 Priority/Priority Byte Offset 168 " group.long 0x4AC++0x03 line.long 0x00 "GICD_IPRIORITYR43,Interrupt Priority Register 43" hexmask.long.byte 0x00 24.--31. 1. " INTID175 ,Interrupt ID175 Priority/Priority Byte Offset 175 " hexmask.long.byte 0x00 16.--23. 1. " INTID174 ,Interrupt ID174 Priority/Priority Byte Offset 174 " hexmask.long.byte 0x00 8.--15. 1. " INTID173 ,Interrupt ID173 Priority/Priority Byte Offset 173 " hexmask.long.byte 0x00 0.--7. 1. " INTID172 ,Interrupt ID172 Priority/Priority Byte Offset 172 " group.long 0x4B0++0x03 line.long 0x00 "GICD_IPRIORITYR44,Interrupt Priority Register 44" hexmask.long.byte 0x00 24.--31. 1. " INTID179 ,Interrupt ID179 Priority/Priority Byte Offset 179 " hexmask.long.byte 0x00 16.--23. 1. " INTID178 ,Interrupt ID178 Priority/Priority Byte Offset 178 " hexmask.long.byte 0x00 8.--15. 1. " INTID177 ,Interrupt ID177 Priority/Priority Byte Offset 177 " hexmask.long.byte 0x00 0.--7. 1. " INTID176 ,Interrupt ID176 Priority/Priority Byte Offset 176 " group.long 0x4B4++0x03 line.long 0x00 "GICD_IPRIORITYR45,Interrupt Priority Register 45" hexmask.long.byte 0x00 24.--31. 1. " INTID183 ,Interrupt ID183 Priority/Priority Byte Offset 183 " hexmask.long.byte 0x00 16.--23. 1. " INTID182 ,Interrupt ID182 Priority/Priority Byte Offset 182 " hexmask.long.byte 0x00 8.--15. 1. " INTID181 ,Interrupt ID181 Priority/Priority Byte Offset 181 " hexmask.long.byte 0x00 0.--7. 1. " INTID180 ,Interrupt ID180 Priority/Priority Byte Offset 180 " group.long 0x4B8++0x03 line.long 0x00 "GICD_IPRIORITYR46,Interrupt Priority Register 46" hexmask.long.byte 0x00 24.--31. 1. " INTID187 ,Interrupt ID187 Priority/Priority Byte Offset 187 " hexmask.long.byte 0x00 16.--23. 1. " INTID186 ,Interrupt ID186 Priority/Priority Byte Offset 186 " hexmask.long.byte 0x00 8.--15. 1. " INTID185 ,Interrupt ID185 Priority/Priority Byte Offset 185 " hexmask.long.byte 0x00 0.--7. 1. " INTID184 ,Interrupt ID184 Priority/Priority Byte Offset 184 " group.long 0x4BC++0x03 line.long 0x00 "GICD_IPRIORITYR47,Interrupt Priority Register 47" hexmask.long.byte 0x00 24.--31. 1. " INTID191 ,Interrupt ID191 Priority/Priority Byte Offset 191 " hexmask.long.byte 0x00 16.--23. 1. " INTID190 ,Interrupt ID190 Priority/Priority Byte Offset 190 " hexmask.long.byte 0x00 8.--15. 1. " INTID189 ,Interrupt ID189 Priority/Priority Byte Offset 189 " hexmask.long.byte 0x00 0.--7. 1. " INTID188 ,Interrupt ID188 Priority/Priority Byte Offset 188 " else rgroup.long 0x4A0++0x03 line.long 0x00 "GICD_IPRIORITYR40,Interrupt Priority Register 40" rgroup.long 0x4A4++0x03 line.long 0x00 "GICD_IPRIORITYR41,Interrupt Priority Register 41" rgroup.long 0x4A8++0x03 line.long 0x00 "GICD_IPRIORITYR42,Interrupt Priority Register 42" rgroup.long 0x4AC++0x03 line.long 0x00 "GICD_IPRIORITYR43,Interrupt Priority Register 43" rgroup.long 0x4B0++0x03 line.long 0x00 "GICD_IPRIORITYR44,Interrupt Priority Register 44" rgroup.long 0x4B4++0x03 line.long 0x00 "GICD_IPRIORITYR45,Interrupt Priority Register 45" rgroup.long 0x4B8++0x03 line.long 0x00 "GICD_IPRIORITYR46,Interrupt Priority Register 46" rgroup.long 0x4BC++0x03 line.long 0x00 "GICD_IPRIORITYR47,Interrupt Priority Register 47" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x4C0++0x03 line.long 0x00 "GICD_IPRIORITYR48,Interrupt Priority Register 48" hexmask.long.byte 0x00 24.--31. 1. " INTID195 ,Interrupt ID195 Priority/Priority Byte Offset 195 " hexmask.long.byte 0x00 16.--23. 1. " INTID194 ,Interrupt ID194 Priority/Priority Byte Offset 194 " hexmask.long.byte 0x00 8.--15. 1. " INTID193 ,Interrupt ID193 Priority/Priority Byte Offset 193 " hexmask.long.byte 0x00 0.--7. 1. " INTID192 ,Interrupt ID192 Priority/Priority Byte Offset 192 " group.long 0x4C4++0x03 line.long 0x00 "GICD_IPRIORITYR49,Interrupt Priority Register 49" hexmask.long.byte 0x00 24.--31. 1. " INTID199 ,Interrupt ID199 Priority/Priority Byte Offset 199 " hexmask.long.byte 0x00 16.--23. 1. " INTID198 ,Interrupt ID198 Priority/Priority Byte Offset 198 " hexmask.long.byte 0x00 8.--15. 1. " INTID197 ,Interrupt ID197 Priority/Priority Byte Offset 197 " hexmask.long.byte 0x00 0.--7. 1. " INTID196 ,Interrupt ID196 Priority/Priority Byte Offset 196 " group.long 0x4C8++0x03 line.long 0x00 "GICD_IPRIORITYR50,Interrupt Priority Register 50" hexmask.long.byte 0x00 24.--31. 1. " INTID203 ,Interrupt ID203 Priority/Priority Byte Offset 203 " hexmask.long.byte 0x00 16.--23. 1. " INTID202 ,Interrupt ID202 Priority/Priority Byte Offset 202 " hexmask.long.byte 0x00 8.--15. 1. " INTID201 ,Interrupt ID201 Priority/Priority Byte Offset 201 " hexmask.long.byte 0x00 0.--7. 1. " INTID200 ,Interrupt ID200 Priority/Priority Byte Offset 200 " group.long 0x4CC++0x03 line.long 0x00 "GICD_IPRIORITYR51,Interrupt Priority Register 51" hexmask.long.byte 0x00 24.--31. 1. " INTID207 ,Interrupt ID207 Priority/Priority Byte Offset 207 " hexmask.long.byte 0x00 16.--23. 1. " INTID206 ,Interrupt ID206 Priority/Priority Byte Offset 206 " hexmask.long.byte 0x00 8.--15. 1. " INTID205 ,Interrupt ID205 Priority/Priority Byte Offset 205 " hexmask.long.byte 0x00 0.--7. 1. " INTID204 ,Interrupt ID204 Priority/Priority Byte Offset 204 " group.long 0x4D0++0x03 line.long 0x00 "GICD_IPRIORITYR52,Interrupt Priority Register 52" hexmask.long.byte 0x00 24.--31. 1. " INTID211 ,Interrupt ID211 Priority/Priority Byte Offset 211 " hexmask.long.byte 0x00 16.--23. 1. " INTID210 ,Interrupt ID210 Priority/Priority Byte Offset 210 " hexmask.long.byte 0x00 8.--15. 1. " INTID209 ,Interrupt ID209 Priority/Priority Byte Offset 209 " hexmask.long.byte 0x00 0.--7. 1. " INTID208 ,Interrupt ID208 Priority/Priority Byte Offset 208 " group.long 0x4D4++0x03 line.long 0x00 "GICD_IPRIORITYR53,Interrupt Priority Register 53" hexmask.long.byte 0x00 24.--31. 1. " INTID215 ,Interrupt ID215 Priority/Priority Byte Offset 215 " hexmask.long.byte 0x00 16.--23. 1. " INTID214 ,Interrupt ID214 Priority/Priority Byte Offset 214 " hexmask.long.byte 0x00 8.--15. 1. " INTID213 ,Interrupt ID213 Priority/Priority Byte Offset 213 " hexmask.long.byte 0x00 0.--7. 1. " INTID212 ,Interrupt ID212 Priority/Priority Byte Offset 212 " group.long 0x4D8++0x03 line.long 0x00 "GICD_IPRIORITYR54,Interrupt Priority Register 54" hexmask.long.byte 0x00 24.--31. 1. " INTID219 ,Interrupt ID219 Priority/Priority Byte Offset 219 " hexmask.long.byte 0x00 16.--23. 1. " INTID218 ,Interrupt ID218 Priority/Priority Byte Offset 218 " hexmask.long.byte 0x00 8.--15. 1. " INTID217 ,Interrupt ID217 Priority/Priority Byte Offset 217 " hexmask.long.byte 0x00 0.--7. 1. " INTID216 ,Interrupt ID216 Priority/Priority Byte Offset 216 " group.long 0x4DC++0x03 line.long 0x00 "GICD_IPRIORITYR55,Interrupt Priority Register 55" hexmask.long.byte 0x00 24.--31. 1. " INTID223 ,Interrupt ID223 Priority/Priority Byte Offset 223 " hexmask.long.byte 0x00 16.--23. 1. " INTID222 ,Interrupt ID222 Priority/Priority Byte Offset 222 " hexmask.long.byte 0x00 8.--15. 1. " INTID221 ,Interrupt ID221 Priority/Priority Byte Offset 221 " hexmask.long.byte 0x00 0.--7. 1. " INTID220 ,Interrupt ID220 Priority/Priority Byte Offset 220 " else rgroup.long 0x4C0++0x03 line.long 0x00 "GICD_IPRIORITYR48,Interrupt Priority Register 48" rgroup.long 0x4C4++0x03 line.long 0x00 "GICD_IPRIORITYR49,Interrupt Priority Register 49" rgroup.long 0x4C8++0x03 line.long 0x00 "GICD_IPRIORITYR50,Interrupt Priority Register 50" rgroup.long 0x4CC++0x03 line.long 0x00 "GICD_IPRIORITYR51,Interrupt Priority Register 51" rgroup.long 0x4D0++0x03 line.long 0x00 "GICD_IPRIORITYR52,Interrupt Priority Register 52" rgroup.long 0x4D4++0x03 line.long 0x00 "GICD_IPRIORITYR53,Interrupt Priority Register 53" rgroup.long 0x4D8++0x03 line.long 0x00 "GICD_IPRIORITYR54,Interrupt Priority Register 54" rgroup.long 0x4DC++0x03 line.long 0x00 "GICD_IPRIORITYR55,Interrupt Priority Register 55" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x4E0++0x03 line.long 0x00 "GICD_IPRIORITYR56,Interrupt Priority Register 56" hexmask.long.byte 0x00 24.--31. 1. " INTID227 ,Interrupt ID227 Priority/Priority Byte Offset 227 " hexmask.long.byte 0x00 16.--23. 1. " INTID226 ,Interrupt ID226 Priority/Priority Byte Offset 226 " hexmask.long.byte 0x00 8.--15. 1. " INTID225 ,Interrupt ID225 Priority/Priority Byte Offset 225 " hexmask.long.byte 0x00 0.--7. 1. " INTID224 ,Interrupt ID224 Priority/Priority Byte Offset 224 " group.long 0x4E4++0x03 line.long 0x00 "GICD_IPRIORITYR57,Interrupt Priority Register 57" hexmask.long.byte 0x00 24.--31. 1. " INTID231 ,Interrupt ID231 Priority/Priority Byte Offset 231 " hexmask.long.byte 0x00 16.--23. 1. " INTID230 ,Interrupt ID230 Priority/Priority Byte Offset 230 " hexmask.long.byte 0x00 8.--15. 1. " INTID229 ,Interrupt ID229 Priority/Priority Byte Offset 229 " hexmask.long.byte 0x00 0.--7. 1. " INTID228 ,Interrupt ID228 Priority/Priority Byte Offset 228 " group.long 0x4E8++0x03 line.long 0x00 "GICD_IPRIORITYR58,Interrupt Priority Register 58" hexmask.long.byte 0x00 24.--31. 1. " INTID235 ,Interrupt ID235 Priority/Priority Byte Offset 235 " hexmask.long.byte 0x00 16.--23. 1. " INTID234 ,Interrupt ID234 Priority/Priority Byte Offset 234 " hexmask.long.byte 0x00 8.--15. 1. " INTID233 ,Interrupt ID233 Priority/Priority Byte Offset 233 " hexmask.long.byte 0x00 0.--7. 1. " INTID232 ,Interrupt ID232 Priority/Priority Byte Offset 232 " group.long 0x4EC++0x03 line.long 0x00 "GICD_IPRIORITYR59,Interrupt Priority Register 59" hexmask.long.byte 0x00 24.--31. 1. " INTID239 ,Interrupt ID239 Priority/Priority Byte Offset 239 " hexmask.long.byte 0x00 16.--23. 1. " INTID238 ,Interrupt ID238 Priority/Priority Byte Offset 238 " hexmask.long.byte 0x00 8.--15. 1. " INTID237 ,Interrupt ID237 Priority/Priority Byte Offset 237 " hexmask.long.byte 0x00 0.--7. 1. " INTID236 ,Interrupt ID236 Priority/Priority Byte Offset 236 " group.long 0x4F0++0x03 line.long 0x00 "GICD_IPRIORITYR60,Interrupt Priority Register 60" hexmask.long.byte 0x00 24.--31. 1. " INTID243 ,Interrupt ID243 Priority/Priority Byte Offset 243 " hexmask.long.byte 0x00 16.--23. 1. " INTID242 ,Interrupt ID242 Priority/Priority Byte Offset 242 " hexmask.long.byte 0x00 8.--15. 1. " INTID241 ,Interrupt ID241 Priority/Priority Byte Offset 241 " hexmask.long.byte 0x00 0.--7. 1. " INTID240 ,Interrupt ID240 Priority/Priority Byte Offset 240 " group.long 0x4F4++0x03 line.long 0x00 "GICD_IPRIORITYR61,Interrupt Priority Register 61" hexmask.long.byte 0x00 24.--31. 1. " INTID247 ,Interrupt ID247 Priority/Priority Byte Offset 247 " hexmask.long.byte 0x00 16.--23. 1. " INTID246 ,Interrupt ID246 Priority/Priority Byte Offset 246 " hexmask.long.byte 0x00 8.--15. 1. " INTID245 ,Interrupt ID245 Priority/Priority Byte Offset 245 " hexmask.long.byte 0x00 0.--7. 1. " INTID244 ,Interrupt ID244 Priority/Priority Byte Offset 244 " group.long 0x4F8++0x03 line.long 0x00 "GICD_IPRIORITYR62,Interrupt Priority Register 62" hexmask.long.byte 0x00 24.--31. 1. " INTID251 ,Interrupt ID251 Priority/Priority Byte Offset 251 " hexmask.long.byte 0x00 16.--23. 1. " INTID250 ,Interrupt ID250 Priority/Priority Byte Offset 250 " hexmask.long.byte 0x00 8.--15. 1. " INTID249 ,Interrupt ID249 Priority/Priority Byte Offset 249 " hexmask.long.byte 0x00 0.--7. 1. " INTID248 ,Interrupt ID248 Priority/Priority Byte Offset 248 " group.long 0x4FC++0x03 line.long 0x00 "GICD_IPRIORITYR63,Interrupt Priority Register 63" hexmask.long.byte 0x00 24.--31. 1. " INTID255 ,Interrupt ID255 Priority/Priority Byte Offset 255 " hexmask.long.byte 0x00 16.--23. 1. " INTID254 ,Interrupt ID254 Priority/Priority Byte Offset 254 " hexmask.long.byte 0x00 8.--15. 1. " INTID253 ,Interrupt ID253 Priority/Priority Byte Offset 253 " hexmask.long.byte 0x00 0.--7. 1. " INTID252 ,Interrupt ID252 Priority/Priority Byte Offset 252 " else rgroup.long 0x4E0++0x03 line.long 0x00 "GICD_IPRIORITYR56,Interrupt Priority Register 56" rgroup.long 0x4E4++0x03 line.long 0x00 "GICD_IPRIORITYR57,Interrupt Priority Register 57" rgroup.long 0x4E8++0x03 line.long 0x00 "GICD_IPRIORITYR58,Interrupt Priority Register 58" rgroup.long 0x4EC++0x03 line.long 0x00 "GICD_IPRIORITYR59,Interrupt Priority Register 59" rgroup.long 0x4F0++0x03 line.long 0x00 "GICD_IPRIORITYR60,Interrupt Priority Register 60" rgroup.long 0x4F4++0x03 line.long 0x00 "GICD_IPRIORITYR61,Interrupt Priority Register 61" rgroup.long 0x4F8++0x03 line.long 0x00 "GICD_IPRIORITYR62,Interrupt Priority Register 62" rgroup.long 0x4FC++0x03 line.long 0x00 "GICD_IPRIORITYR63,Interrupt Priority Register 63" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x500++0x03 line.long 0x00 "GICD_IPRIORITYR64,Interrupt Priority Register 64" hexmask.long.byte 0x00 24.--31. 1. " INTID259 ,Interrupt ID259 Priority/Priority Byte Offset 259 " hexmask.long.byte 0x00 16.--23. 1. " INTID258 ,Interrupt ID258 Priority/Priority Byte Offset 258 " hexmask.long.byte 0x00 8.--15. 1. " INTID257 ,Interrupt ID257 Priority/Priority Byte Offset 257 " hexmask.long.byte 0x00 0.--7. 1. " INTID256 ,Interrupt ID256 Priority/Priority Byte Offset 256 " group.long 0x504++0x03 line.long 0x00 "GICD_IPRIORITYR65,Interrupt Priority Register 65" hexmask.long.byte 0x00 24.--31. 1. " INTID263 ,Interrupt ID263 Priority/Priority Byte Offset 263 " hexmask.long.byte 0x00 16.--23. 1. " INTID262 ,Interrupt ID262 Priority/Priority Byte Offset 262 " hexmask.long.byte 0x00 8.--15. 1. " INTID261 ,Interrupt ID261 Priority/Priority Byte Offset 261 " hexmask.long.byte 0x00 0.--7. 1. " INTID260 ,Interrupt ID260 Priority/Priority Byte Offset 260 " group.long 0x508++0x03 line.long 0x00 "GICD_IPRIORITYR66,Interrupt Priority Register 66" hexmask.long.byte 0x00 24.--31. 1. " INTID267 ,Interrupt ID267 Priority/Priority Byte Offset 267 " hexmask.long.byte 0x00 16.--23. 1. " INTID266 ,Interrupt ID266 Priority/Priority Byte Offset 266 " hexmask.long.byte 0x00 8.--15. 1. " INTID265 ,Interrupt ID265 Priority/Priority Byte Offset 265 " hexmask.long.byte 0x00 0.--7. 1. " INTID264 ,Interrupt ID264 Priority/Priority Byte Offset 264 " group.long 0x50C++0x03 line.long 0x00 "GICD_IPRIORITYR67,Interrupt Priority Register 67" hexmask.long.byte 0x00 24.--31. 1. " INTID271 ,Interrupt ID271 Priority/Priority Byte Offset 271 " hexmask.long.byte 0x00 16.--23. 1. " INTID270 ,Interrupt ID270 Priority/Priority Byte Offset 270 " hexmask.long.byte 0x00 8.--15. 1. " INTID269 ,Interrupt ID269 Priority/Priority Byte Offset 269 " hexmask.long.byte 0x00 0.--7. 1. " INTID268 ,Interrupt ID268 Priority/Priority Byte Offset 268 " group.long 0x510++0x03 line.long 0x00 "GICD_IPRIORITYR68,Interrupt Priority Register 68" hexmask.long.byte 0x00 24.--31. 1. " INTID275 ,Interrupt ID275 Priority/Priority Byte Offset 275 " hexmask.long.byte 0x00 16.--23. 1. " INTID274 ,Interrupt ID274 Priority/Priority Byte Offset 274 " hexmask.long.byte 0x00 8.--15. 1. " INTID273 ,Interrupt ID273 Priority/Priority Byte Offset 273 " hexmask.long.byte 0x00 0.--7. 1. " INTID272 ,Interrupt ID272 Priority/Priority Byte Offset 272 " group.long 0x514++0x03 line.long 0x00 "GICD_IPRIORITYR69,Interrupt Priority Register 69" hexmask.long.byte 0x00 24.--31. 1. " INTID279 ,Interrupt ID279 Priority/Priority Byte Offset 279 " hexmask.long.byte 0x00 16.--23. 1. " INTID278 ,Interrupt ID278 Priority/Priority Byte Offset 278 " hexmask.long.byte 0x00 8.--15. 1. " INTID277 ,Interrupt ID277 Priority/Priority Byte Offset 277 " hexmask.long.byte 0x00 0.--7. 1. " INTID276 ,Interrupt ID276 Priority/Priority Byte Offset 276 " group.long 0x518++0x03 line.long 0x00 "GICD_IPRIORITYR70,Interrupt Priority Register 70" hexmask.long.byte 0x00 24.--31. 1. " INTID283 ,Interrupt ID283 Priority/Priority Byte Offset 283 " hexmask.long.byte 0x00 16.--23. 1. " INTID282 ,Interrupt ID282 Priority/Priority Byte Offset 282 " hexmask.long.byte 0x00 8.--15. 1. " INTID281 ,Interrupt ID281 Priority/Priority Byte Offset 281 " hexmask.long.byte 0x00 0.--7. 1. " INTID280 ,Interrupt ID280 Priority/Priority Byte Offset 280 " group.long 0x51C++0x03 line.long 0x00 "GICD_IPRIORITYR71,Interrupt Priority Register 71" hexmask.long.byte 0x00 24.--31. 1. " INTID287 ,Interrupt ID287 Priority/Priority Byte Offset 287 " hexmask.long.byte 0x00 16.--23. 1. " INTID286 ,Interrupt ID286 Priority/Priority Byte Offset 286 " hexmask.long.byte 0x00 8.--15. 1. " INTID285 ,Interrupt ID285 Priority/Priority Byte Offset 285 " hexmask.long.byte 0x00 0.--7. 1. " INTID284 ,Interrupt ID284 Priority/Priority Byte Offset 284 " else rgroup.long 0x500++0x03 line.long 0x00 "GICD_IPRIORITYR64,Interrupt Priority Register 64" rgroup.long 0x504++0x03 line.long 0x00 "GICD_IPRIORITYR65,Interrupt Priority Register 65" rgroup.long 0x508++0x03 line.long 0x00 "GICD_IPRIORITYR66,Interrupt Priority Register 66" rgroup.long 0x50C++0x03 line.long 0x00 "GICD_IPRIORITYR67,Interrupt Priority Register 67" rgroup.long 0x510++0x03 line.long 0x00 "GICD_IPRIORITYR68,Interrupt Priority Register 68" rgroup.long 0x514++0x03 line.long 0x00 "GICD_IPRIORITYR69,Interrupt Priority Register 69" rgroup.long 0x518++0x03 line.long 0x00 "GICD_IPRIORITYR70,Interrupt Priority Register 70" rgroup.long 0x51C++0x03 line.long 0x00 "GICD_IPRIORITYR71,Interrupt Priority Register 71" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x520++0x03 line.long 0x00 "GICD_IPRIORITYR72,Interrupt Priority Register 72" hexmask.long.byte 0x00 24.--31. 1. " INTID291 ,Interrupt ID291 Priority/Priority Byte Offset 291 " hexmask.long.byte 0x00 16.--23. 1. " INTID290 ,Interrupt ID290 Priority/Priority Byte Offset 290 " hexmask.long.byte 0x00 8.--15. 1. " INTID289 ,Interrupt ID289 Priority/Priority Byte Offset 289 " hexmask.long.byte 0x00 0.--7. 1. " INTID288 ,Interrupt ID288 Priority/Priority Byte Offset 288 " group.long 0x524++0x03 line.long 0x00 "GICD_IPRIORITYR73,Interrupt Priority Register 73" hexmask.long.byte 0x00 24.--31. 1. " INTID295 ,Interrupt ID295 Priority/Priority Byte Offset 295 " hexmask.long.byte 0x00 16.--23. 1. " INTID294 ,Interrupt ID294 Priority/Priority Byte Offset 294 " hexmask.long.byte 0x00 8.--15. 1. " INTID293 ,Interrupt ID293 Priority/Priority Byte Offset 293 " hexmask.long.byte 0x00 0.--7. 1. " INTID292 ,Interrupt ID292 Priority/Priority Byte Offset 292 " group.long 0x528++0x03 line.long 0x00 "GICD_IPRIORITYR74,Interrupt Priority Register 74" hexmask.long.byte 0x00 24.--31. 1. " INTID299 ,Interrupt ID299 Priority/Priority Byte Offset 299 " hexmask.long.byte 0x00 16.--23. 1. " INTID298 ,Interrupt ID298 Priority/Priority Byte Offset 298 " hexmask.long.byte 0x00 8.--15. 1. " INTID297 ,Interrupt ID297 Priority/Priority Byte Offset 297 " hexmask.long.byte 0x00 0.--7. 1. " INTID296 ,Interrupt ID296 Priority/Priority Byte Offset 296 " group.long 0x52C++0x03 line.long 0x00 "GICD_IPRIORITYR75,Interrupt Priority Register 75" hexmask.long.byte 0x00 24.--31. 1. " INTID303 ,Interrupt ID303 Priority/Priority Byte Offset 303 " hexmask.long.byte 0x00 16.--23. 1. " INTID302 ,Interrupt ID302 Priority/Priority Byte Offset 302 " hexmask.long.byte 0x00 8.--15. 1. " INTID301 ,Interrupt ID301 Priority/Priority Byte Offset 301 " hexmask.long.byte 0x00 0.--7. 1. " INTID300 ,Interrupt ID300 Priority/Priority Byte Offset 300 " group.long 0x530++0x03 line.long 0x00 "GICD_IPRIORITYR76,Interrupt Priority Register 76" hexmask.long.byte 0x00 24.--31. 1. " INTID307 ,Interrupt ID307 Priority/Priority Byte Offset 307 " hexmask.long.byte 0x00 16.--23. 1. " INTID306 ,Interrupt ID306 Priority/Priority Byte Offset 306 " hexmask.long.byte 0x00 8.--15. 1. " INTID305 ,Interrupt ID305 Priority/Priority Byte Offset 305 " hexmask.long.byte 0x00 0.--7. 1. " INTID304 ,Interrupt ID304 Priority/Priority Byte Offset 304 " group.long 0x534++0x03 line.long 0x00 "GICD_IPRIORITYR77,Interrupt Priority Register 77" hexmask.long.byte 0x00 24.--31. 1. " INTID311 ,Interrupt ID311 Priority/Priority Byte Offset 311 " hexmask.long.byte 0x00 16.--23. 1. " INTID310 ,Interrupt ID310 Priority/Priority Byte Offset 310 " hexmask.long.byte 0x00 8.--15. 1. " INTID309 ,Interrupt ID309 Priority/Priority Byte Offset 309 " hexmask.long.byte 0x00 0.--7. 1. " INTID308 ,Interrupt ID308 Priority/Priority Byte Offset 308 " group.long 0x538++0x03 line.long 0x00 "GICD_IPRIORITYR78,Interrupt Priority Register 78" hexmask.long.byte 0x00 24.--31. 1. " INTID315 ,Interrupt ID315 Priority/Priority Byte Offset 315 " hexmask.long.byte 0x00 16.--23. 1. " INTID314 ,Interrupt ID314 Priority/Priority Byte Offset 314 " hexmask.long.byte 0x00 8.--15. 1. " INTID313 ,Interrupt ID313 Priority/Priority Byte Offset 313 " hexmask.long.byte 0x00 0.--7. 1. " INTID312 ,Interrupt ID312 Priority/Priority Byte Offset 312 " group.long 0x53C++0x03 line.long 0x00 "GICD_IPRIORITYR79,Interrupt Priority Register 79" hexmask.long.byte 0x00 24.--31. 1. " INTID319 ,Interrupt ID319 Priority/Priority Byte Offset 319 " hexmask.long.byte 0x00 16.--23. 1. " INTID318 ,Interrupt ID318 Priority/Priority Byte Offset 318 " hexmask.long.byte 0x00 8.--15. 1. " INTID317 ,Interrupt ID317 Priority/Priority Byte Offset 317 " hexmask.long.byte 0x00 0.--7. 1. " INTID316 ,Interrupt ID316 Priority/Priority Byte Offset 316 " else rgroup.long 0x520++0x03 line.long 0x00 "GICD_IPRIORITYR72,Interrupt Priority Register 72" rgroup.long 0x524++0x03 line.long 0x00 "GICD_IPRIORITYR73,Interrupt Priority Register 73" rgroup.long 0x528++0x03 line.long 0x00 "GICD_IPRIORITYR74,Interrupt Priority Register 74" rgroup.long 0x52C++0x03 line.long 0x00 "GICD_IPRIORITYR75,Interrupt Priority Register 75" rgroup.long 0x530++0x03 line.long 0x00 "GICD_IPRIORITYR76,Interrupt Priority Register 76" rgroup.long 0x534++0x03 line.long 0x00 "GICD_IPRIORITYR77,Interrupt Priority Register 77" rgroup.long 0x538++0x03 line.long 0x00 "GICD_IPRIORITYR78,Interrupt Priority Register 78" rgroup.long 0x53C++0x03 line.long 0x00 "GICD_IPRIORITYR79,Interrupt Priority Register 79" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x540++0x03 line.long 0x00 "GICD_IPRIORITYR80,Interrupt Priority Register 80" hexmask.long.byte 0x00 24.--31. 1. " INTID323 ,Interrupt ID323 Priority/Priority Byte Offset 323 " hexmask.long.byte 0x00 16.--23. 1. " INTID322 ,Interrupt ID322 Priority/Priority Byte Offset 322 " hexmask.long.byte 0x00 8.--15. 1. " INTID321 ,Interrupt ID321 Priority/Priority Byte Offset 321 " hexmask.long.byte 0x00 0.--7. 1. " INTID320 ,Interrupt ID320 Priority/Priority Byte Offset 320 " group.long 0x544++0x03 line.long 0x00 "GICD_IPRIORITYR81,Interrupt Priority Register 81" hexmask.long.byte 0x00 24.--31. 1. " INTID327 ,Interrupt ID327 Priority/Priority Byte Offset 327 " hexmask.long.byte 0x00 16.--23. 1. " INTID326 ,Interrupt ID326 Priority/Priority Byte Offset 326 " hexmask.long.byte 0x00 8.--15. 1. " INTID325 ,Interrupt ID325 Priority/Priority Byte Offset 325 " hexmask.long.byte 0x00 0.--7. 1. " INTID324 ,Interrupt ID324 Priority/Priority Byte Offset 324 " group.long 0x548++0x03 line.long 0x00 "GICD_IPRIORITYR82,Interrupt Priority Register 82" hexmask.long.byte 0x00 24.--31. 1. " INTID331 ,Interrupt ID331 Priority/Priority Byte Offset 331 " hexmask.long.byte 0x00 16.--23. 1. " INTID330 ,Interrupt ID330 Priority/Priority Byte Offset 330 " hexmask.long.byte 0x00 8.--15. 1. " INTID329 ,Interrupt ID329 Priority/Priority Byte Offset 329 " hexmask.long.byte 0x00 0.--7. 1. " INTID328 ,Interrupt ID328 Priority/Priority Byte Offset 328 " group.long 0x54C++0x03 line.long 0x00 "GICD_IPRIORITYR83,Interrupt Priority Register 83" hexmask.long.byte 0x00 24.--31. 1. " INTID335 ,Interrupt ID335 Priority/Priority Byte Offset 335 " hexmask.long.byte 0x00 16.--23. 1. " INTID334 ,Interrupt ID334 Priority/Priority Byte Offset 334 " hexmask.long.byte 0x00 8.--15. 1. " INTID333 ,Interrupt ID333 Priority/Priority Byte Offset 333 " hexmask.long.byte 0x00 0.--7. 1. " INTID332 ,Interrupt ID332 Priority/Priority Byte Offset 332 " group.long 0x550++0x03 line.long 0x00 "GICD_IPRIORITYR84,Interrupt Priority Register 84" hexmask.long.byte 0x00 24.--31. 1. " INTID339 ,Interrupt ID339 Priority/Priority Byte Offset 339 " hexmask.long.byte 0x00 16.--23. 1. " INTID338 ,Interrupt ID338 Priority/Priority Byte Offset 338 " hexmask.long.byte 0x00 8.--15. 1. " INTID337 ,Interrupt ID337 Priority/Priority Byte Offset 337 " hexmask.long.byte 0x00 0.--7. 1. " INTID336 ,Interrupt ID336 Priority/Priority Byte Offset 336 " group.long 0x554++0x03 line.long 0x00 "GICD_IPRIORITYR85,Interrupt Priority Register 85" hexmask.long.byte 0x00 24.--31. 1. " INTID343 ,Interrupt ID343 Priority/Priority Byte Offset 343 " hexmask.long.byte 0x00 16.--23. 1. " INTID342 ,Interrupt ID342 Priority/Priority Byte Offset 342 " hexmask.long.byte 0x00 8.--15. 1. " INTID341 ,Interrupt ID341 Priority/Priority Byte Offset 341 " hexmask.long.byte 0x00 0.--7. 1. " INTID340 ,Interrupt ID340 Priority/Priority Byte Offset 340 " group.long 0x558++0x03 line.long 0x00 "GICD_IPRIORITYR86,Interrupt Priority Register 86" hexmask.long.byte 0x00 24.--31. 1. " INTID347 ,Interrupt ID347 Priority/Priority Byte Offset 347 " hexmask.long.byte 0x00 16.--23. 1. " INTID346 ,Interrupt ID346 Priority/Priority Byte Offset 346 " hexmask.long.byte 0x00 8.--15. 1. " INTID345 ,Interrupt ID345 Priority/Priority Byte Offset 345 " hexmask.long.byte 0x00 0.--7. 1. " INTID344 ,Interrupt ID344 Priority/Priority Byte Offset 344 " group.long 0x55C++0x03 line.long 0x00 "GICD_IPRIORITYR87,Interrupt Priority Register 87" hexmask.long.byte 0x00 24.--31. 1. " INTID351 ,Interrupt ID351 Priority/Priority Byte Offset 351 " hexmask.long.byte 0x00 16.--23. 1. " INTID350 ,Interrupt ID350 Priority/Priority Byte Offset 350 " hexmask.long.byte 0x00 8.--15. 1. " INTID349 ,Interrupt ID349 Priority/Priority Byte Offset 349 " hexmask.long.byte 0x00 0.--7. 1. " INTID348 ,Interrupt ID348 Priority/Priority Byte Offset 348 " else rgroup.long 0x540++0x03 line.long 0x00 "GICD_IPRIORITYR80,Interrupt Priority Register 80" rgroup.long 0x544++0x03 line.long 0x00 "GICD_IPRIORITYR81,Interrupt Priority Register 81" rgroup.long 0x548++0x03 line.long 0x00 "GICD_IPRIORITYR82,Interrupt Priority Register 82" rgroup.long 0x54C++0x03 line.long 0x00 "GICD_IPRIORITYR83,Interrupt Priority Register 83" rgroup.long 0x550++0x03 line.long 0x00 "GICD_IPRIORITYR84,Interrupt Priority Register 84" rgroup.long 0x554++0x03 line.long 0x00 "GICD_IPRIORITYR85,Interrupt Priority Register 85" rgroup.long 0x558++0x03 line.long 0x00 "GICD_IPRIORITYR86,Interrupt Priority Register 86" rgroup.long 0x55C++0x03 line.long 0x00 "GICD_IPRIORITYR87,Interrupt Priority Register 87" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x560++0x03 line.long 0x00 "GICD_IPRIORITYR88,Interrupt Priority Register 88" hexmask.long.byte 0x00 24.--31. 1. " INTID355 ,Interrupt ID355 Priority/Priority Byte Offset 355 " hexmask.long.byte 0x00 16.--23. 1. " INTID354 ,Interrupt ID354 Priority/Priority Byte Offset 354 " hexmask.long.byte 0x00 8.--15. 1. " INTID353 ,Interrupt ID353 Priority/Priority Byte Offset 353 " hexmask.long.byte 0x00 0.--7. 1. " INTID352 ,Interrupt ID352 Priority/Priority Byte Offset 352 " group.long 0x564++0x03 line.long 0x00 "GICD_IPRIORITYR89,Interrupt Priority Register 89" hexmask.long.byte 0x00 24.--31. 1. " INTID359 ,Interrupt ID359 Priority/Priority Byte Offset 359 " hexmask.long.byte 0x00 16.--23. 1. " INTID358 ,Interrupt ID358 Priority/Priority Byte Offset 358 " hexmask.long.byte 0x00 8.--15. 1. " INTID357 ,Interrupt ID357 Priority/Priority Byte Offset 357 " hexmask.long.byte 0x00 0.--7. 1. " INTID356 ,Interrupt ID356 Priority/Priority Byte Offset 356 " group.long 0x568++0x03 line.long 0x00 "GICD_IPRIORITYR90,Interrupt Priority Register 90" hexmask.long.byte 0x00 24.--31. 1. " INTID363 ,Interrupt ID363 Priority/Priority Byte Offset 363 " hexmask.long.byte 0x00 16.--23. 1. " INTID362 ,Interrupt ID362 Priority/Priority Byte Offset 362 " hexmask.long.byte 0x00 8.--15. 1. " INTID361 ,Interrupt ID361 Priority/Priority Byte Offset 361 " hexmask.long.byte 0x00 0.--7. 1. " INTID360 ,Interrupt ID360 Priority/Priority Byte Offset 360 " group.long 0x56C++0x03 line.long 0x00 "GICD_IPRIORITYR91,Interrupt Priority Register 91" hexmask.long.byte 0x00 24.--31. 1. " INTID367 ,Interrupt ID367 Priority/Priority Byte Offset 367 " hexmask.long.byte 0x00 16.--23. 1. " INTID366 ,Interrupt ID366 Priority/Priority Byte Offset 366 " hexmask.long.byte 0x00 8.--15. 1. " INTID365 ,Interrupt ID365 Priority/Priority Byte Offset 365 " hexmask.long.byte 0x00 0.--7. 1. " INTID364 ,Interrupt ID364 Priority/Priority Byte Offset 364 " group.long 0x570++0x03 line.long 0x00 "GICD_IPRIORITYR92,Interrupt Priority Register 92" hexmask.long.byte 0x00 24.--31. 1. " INTID371 ,Interrupt ID371 Priority/Priority Byte Offset 371 " hexmask.long.byte 0x00 16.--23. 1. " INTID370 ,Interrupt ID370 Priority/Priority Byte Offset 370 " hexmask.long.byte 0x00 8.--15. 1. " INTID369 ,Interrupt ID369 Priority/Priority Byte Offset 369 " hexmask.long.byte 0x00 0.--7. 1. " INTID368 ,Interrupt ID368 Priority/Priority Byte Offset 368 " group.long 0x574++0x03 line.long 0x00 "GICD_IPRIORITYR93,Interrupt Priority Register 93" hexmask.long.byte 0x00 24.--31. 1. " INTID375 ,Interrupt ID375 Priority/Priority Byte Offset 375 " hexmask.long.byte 0x00 16.--23. 1. " INTID374 ,Interrupt ID374 Priority/Priority Byte Offset 374 " hexmask.long.byte 0x00 8.--15. 1. " INTID373 ,Interrupt ID373 Priority/Priority Byte Offset 373 " hexmask.long.byte 0x00 0.--7. 1. " INTID372 ,Interrupt ID372 Priority/Priority Byte Offset 372 " group.long 0x578++0x03 line.long 0x00 "GICD_IPRIORITYR94,Interrupt Priority Register 94" hexmask.long.byte 0x00 24.--31. 1. " INTID379 ,Interrupt ID379 Priority/Priority Byte Offset 379 " hexmask.long.byte 0x00 16.--23. 1. " INTID378 ,Interrupt ID378 Priority/Priority Byte Offset 378 " hexmask.long.byte 0x00 8.--15. 1. " INTID377 ,Interrupt ID377 Priority/Priority Byte Offset 377 " hexmask.long.byte 0x00 0.--7. 1. " INTID376 ,Interrupt ID376 Priority/Priority Byte Offset 376 " group.long 0x57C++0x03 line.long 0x00 "GICD_IPRIORITYR95,Interrupt Priority Register 95" hexmask.long.byte 0x00 24.--31. 1. " INTID383 ,Interrupt ID383 Priority/Priority Byte Offset 383 " hexmask.long.byte 0x00 16.--23. 1. " INTID382 ,Interrupt ID382 Priority/Priority Byte Offset 382 " hexmask.long.byte 0x00 8.--15. 1. " INTID381 ,Interrupt ID381 Priority/Priority Byte Offset 381 " hexmask.long.byte 0x00 0.--7. 1. " INTID380 ,Interrupt ID380 Priority/Priority Byte Offset 380 " else rgroup.long 0x560++0x03 line.long 0x00 "GICD_IPRIORITYR88,Interrupt Priority Register 88" rgroup.long 0x564++0x03 line.long 0x00 "GICD_IPRIORITYR89,Interrupt Priority Register 89" rgroup.long 0x568++0x03 line.long 0x00 "GICD_IPRIORITYR90,Interrupt Priority Register 90" rgroup.long 0x56C++0x03 line.long 0x00 "GICD_IPRIORITYR91,Interrupt Priority Register 91" rgroup.long 0x570++0x03 line.long 0x00 "GICD_IPRIORITYR92,Interrupt Priority Register 92" rgroup.long 0x574++0x03 line.long 0x00 "GICD_IPRIORITYR93,Interrupt Priority Register 93" rgroup.long 0x578++0x03 line.long 0x00 "GICD_IPRIORITYR94,Interrupt Priority Register 94" rgroup.long 0x57C++0x03 line.long 0x00 "GICD_IPRIORITYR95,Interrupt Priority Register 95" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x580++0x03 line.long 0x00 "GICD_IPRIORITYR96,Interrupt Priority Register 96" hexmask.long.byte 0x00 24.--31. 1. " INTID387 ,Interrupt ID387 Priority/Priority Byte Offset 387 " hexmask.long.byte 0x00 16.--23. 1. " INTID386 ,Interrupt ID386 Priority/Priority Byte Offset 386 " hexmask.long.byte 0x00 8.--15. 1. " INTID385 ,Interrupt ID385 Priority/Priority Byte Offset 385 " hexmask.long.byte 0x00 0.--7. 1. " INTID384 ,Interrupt ID384 Priority/Priority Byte Offset 384 " group.long 0x584++0x03 line.long 0x00 "GICD_IPRIORITYR97,Interrupt Priority Register 97" hexmask.long.byte 0x00 24.--31. 1. " INTID391 ,Interrupt ID391 Priority/Priority Byte Offset 391 " hexmask.long.byte 0x00 16.--23. 1. " INTID390 ,Interrupt ID390 Priority/Priority Byte Offset 390 " hexmask.long.byte 0x00 8.--15. 1. " INTID389 ,Interrupt ID389 Priority/Priority Byte Offset 389 " hexmask.long.byte 0x00 0.--7. 1. " INTID388 ,Interrupt ID388 Priority/Priority Byte Offset 388 " group.long 0x588++0x03 line.long 0x00 "GICD_IPRIORITYR98,Interrupt Priority Register 98" hexmask.long.byte 0x00 24.--31. 1. " INTID395 ,Interrupt ID395 Priority/Priority Byte Offset 395 " hexmask.long.byte 0x00 16.--23. 1. " INTID394 ,Interrupt ID394 Priority/Priority Byte Offset 394 " hexmask.long.byte 0x00 8.--15. 1. " INTID393 ,Interrupt ID393 Priority/Priority Byte Offset 393 " hexmask.long.byte 0x00 0.--7. 1. " INTID392 ,Interrupt ID392 Priority/Priority Byte Offset 392 " group.long 0x58C++0x03 line.long 0x00 "GICD_IPRIORITYR99,Interrupt Priority Register 99" hexmask.long.byte 0x00 24.--31. 1. " INTID399 ,Interrupt ID399 Priority/Priority Byte Offset 399 " hexmask.long.byte 0x00 16.--23. 1. " INTID398 ,Interrupt ID398 Priority/Priority Byte Offset 398 " hexmask.long.byte 0x00 8.--15. 1. " INTID397 ,Interrupt ID397 Priority/Priority Byte Offset 397 " hexmask.long.byte 0x00 0.--7. 1. " INTID396 ,Interrupt ID396 Priority/Priority Byte Offset 396 " group.long 0x590++0x03 line.long 0x00 "GICD_IPRIORITYR100,Interrupt Priority Register 100" hexmask.long.byte 0x00 24.--31. 1. " INTID403 ,Interrupt ID403 Priority/Priority Byte Offset 403 " hexmask.long.byte 0x00 16.--23. 1. " INTID402 ,Interrupt ID402 Priority/Priority Byte Offset 402 " hexmask.long.byte 0x00 8.--15. 1. " INTID401 ,Interrupt ID401 Priority/Priority Byte Offset 401 " hexmask.long.byte 0x00 0.--7. 1. " INTID400 ,Interrupt ID400 Priority/Priority Byte Offset 400 " group.long 0x594++0x03 line.long 0x00 "GICD_IPRIORITYR101,Interrupt Priority Register 101" hexmask.long.byte 0x00 24.--31. 1. " INTID407 ,Interrupt ID407 Priority/Priority Byte Offset 407 " hexmask.long.byte 0x00 16.--23. 1. " INTID406 ,Interrupt ID406 Priority/Priority Byte Offset 406 " hexmask.long.byte 0x00 8.--15. 1. " INTID405 ,Interrupt ID405 Priority/Priority Byte Offset 405 " hexmask.long.byte 0x00 0.--7. 1. " INTID404 ,Interrupt ID404 Priority/Priority Byte Offset 404 " group.long 0x598++0x03 line.long 0x00 "GICD_IPRIORITYR102,Interrupt Priority Register 102" hexmask.long.byte 0x00 24.--31. 1. " INTID411 ,Interrupt ID411 Priority/Priority Byte Offset 411 " hexmask.long.byte 0x00 16.--23. 1. " INTID410 ,Interrupt ID410 Priority/Priority Byte Offset 410 " hexmask.long.byte 0x00 8.--15. 1. " INTID409 ,Interrupt ID409 Priority/Priority Byte Offset 409 " hexmask.long.byte 0x00 0.--7. 1. " INTID408 ,Interrupt ID408 Priority/Priority Byte Offset 408 " group.long 0x59C++0x03 line.long 0x00 "GICD_IPRIORITYR103,Interrupt Priority Register 103" hexmask.long.byte 0x00 24.--31. 1. " INTID415 ,Interrupt ID415 Priority/Priority Byte Offset 415 " hexmask.long.byte 0x00 16.--23. 1. " INTID414 ,Interrupt ID414 Priority/Priority Byte Offset 414 " hexmask.long.byte 0x00 8.--15. 1. " INTID413 ,Interrupt ID413 Priority/Priority Byte Offset 413 " hexmask.long.byte 0x00 0.--7. 1. " INTID412 ,Interrupt ID412 Priority/Priority Byte Offset 412 " else rgroup.long 0x580++0x03 line.long 0x00 "GICD_IPRIORITYR96,Interrupt Priority Register 96" rgroup.long 0x584++0x03 line.long 0x00 "GICD_IPRIORITYR97,Interrupt Priority Register 97" rgroup.long 0x588++0x03 line.long 0x00 "GICD_IPRIORITYR98,Interrupt Priority Register 98" rgroup.long 0x58C++0x03 line.long 0x00 "GICD_IPRIORITYR99,Interrupt Priority Register 99" rgroup.long 0x590++0x03 line.long 0x00 "GICD_IPRIORITYR100,Interrupt Priority Register 100" rgroup.long 0x594++0x03 line.long 0x00 "GICD_IPRIORITYR101,Interrupt Priority Register 101" rgroup.long 0x598++0x03 line.long 0x00 "GICD_IPRIORITYR102,Interrupt Priority Register 102" rgroup.long 0x59C++0x03 line.long 0x00 "GICD_IPRIORITYR103,Interrupt Priority Register 103" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x5A0++0x03 line.long 0x00 "GICD_IPRIORITYR104,Interrupt Priority Register 104" hexmask.long.byte 0x00 24.--31. 1. " INTID419 ,Interrupt ID419 Priority/Priority Byte Offset 419 " hexmask.long.byte 0x00 16.--23. 1. " INTID418 ,Interrupt ID418 Priority/Priority Byte Offset 418 " hexmask.long.byte 0x00 8.--15. 1. " INTID417 ,Interrupt ID417 Priority/Priority Byte Offset 417 " hexmask.long.byte 0x00 0.--7. 1. " INTID416 ,Interrupt ID416 Priority/Priority Byte Offset 416 " group.long 0x5A4++0x03 line.long 0x00 "GICD_IPRIORITYR105,Interrupt Priority Register 105" hexmask.long.byte 0x00 24.--31. 1. " INTID423 ,Interrupt ID423 Priority/Priority Byte Offset 423 " hexmask.long.byte 0x00 16.--23. 1. " INTID422 ,Interrupt ID422 Priority/Priority Byte Offset 422 " hexmask.long.byte 0x00 8.--15. 1. " INTID421 ,Interrupt ID421 Priority/Priority Byte Offset 421 " hexmask.long.byte 0x00 0.--7. 1. " INTID420 ,Interrupt ID420 Priority/Priority Byte Offset 420 " group.long 0x5A8++0x03 line.long 0x00 "GICD_IPRIORITYR106,Interrupt Priority Register 106" hexmask.long.byte 0x00 24.--31. 1. " INTID427 ,Interrupt ID427 Priority/Priority Byte Offset 427 " hexmask.long.byte 0x00 16.--23. 1. " INTID426 ,Interrupt ID426 Priority/Priority Byte Offset 426 " hexmask.long.byte 0x00 8.--15. 1. " INTID425 ,Interrupt ID425 Priority/Priority Byte Offset 425 " hexmask.long.byte 0x00 0.--7. 1. " INTID424 ,Interrupt ID424 Priority/Priority Byte Offset 424 " group.long 0x5AC++0x03 line.long 0x00 "GICD_IPRIORITYR107,Interrupt Priority Register 107" hexmask.long.byte 0x00 24.--31. 1. " INTID431 ,Interrupt ID431 Priority/Priority Byte Offset 431 " hexmask.long.byte 0x00 16.--23. 1. " INTID430 ,Interrupt ID430 Priority/Priority Byte Offset 430 " hexmask.long.byte 0x00 8.--15. 1. " INTID429 ,Interrupt ID429 Priority/Priority Byte Offset 429 " hexmask.long.byte 0x00 0.--7. 1. " INTID428 ,Interrupt ID428 Priority/Priority Byte Offset 428 " group.long 0x5B0++0x03 line.long 0x00 "GICD_IPRIORITYR108,Interrupt Priority Register 108" hexmask.long.byte 0x00 24.--31. 1. " INTID435 ,Interrupt ID435 Priority/Priority Byte Offset 435 " hexmask.long.byte 0x00 16.--23. 1. " INTID434 ,Interrupt ID434 Priority/Priority Byte Offset 434 " hexmask.long.byte 0x00 8.--15. 1. " INTID433 ,Interrupt ID433 Priority/Priority Byte Offset 433 " hexmask.long.byte 0x00 0.--7. 1. " INTID432 ,Interrupt ID432 Priority/Priority Byte Offset 432 " group.long 0x5B4++0x03 line.long 0x00 "GICD_IPRIORITYR109,Interrupt Priority Register 109" hexmask.long.byte 0x00 24.--31. 1. " INTID439 ,Interrupt ID439 Priority/Priority Byte Offset 439 " hexmask.long.byte 0x00 16.--23. 1. " INTID438 ,Interrupt ID438 Priority/Priority Byte Offset 438 " hexmask.long.byte 0x00 8.--15. 1. " INTID437 ,Interrupt ID437 Priority/Priority Byte Offset 437 " hexmask.long.byte 0x00 0.--7. 1. " INTID436 ,Interrupt ID436 Priority/Priority Byte Offset 436 " group.long 0x5B8++0x03 line.long 0x00 "GICD_IPRIORITYR110,Interrupt Priority Register 110" hexmask.long.byte 0x00 24.--31. 1. " INTID443 ,Interrupt ID443 Priority/Priority Byte Offset 443 " hexmask.long.byte 0x00 16.--23. 1. " INTID442 ,Interrupt ID442 Priority/Priority Byte Offset 442 " hexmask.long.byte 0x00 8.--15. 1. " INTID441 ,Interrupt ID441 Priority/Priority Byte Offset 441 " hexmask.long.byte 0x00 0.--7. 1. " INTID440 ,Interrupt ID440 Priority/Priority Byte Offset 440 " group.long 0x5BC++0x03 line.long 0x00 "GICD_IPRIORITYR111,Interrupt Priority Register 111" hexmask.long.byte 0x00 24.--31. 1. " INTID447 ,Interrupt ID447 Priority/Priority Byte Offset 447 " hexmask.long.byte 0x00 16.--23. 1. " INTID446 ,Interrupt ID446 Priority/Priority Byte Offset 446 " hexmask.long.byte 0x00 8.--15. 1. " INTID445 ,Interrupt ID445 Priority/Priority Byte Offset 445 " hexmask.long.byte 0x00 0.--7. 1. " INTID444 ,Interrupt ID444 Priority/Priority Byte Offset 444 " else rgroup.long 0x5A0++0x03 line.long 0x00 "GICD_IPRIORITYR104,Interrupt Priority Register 104" rgroup.long 0x5A4++0x03 line.long 0x00 "GICD_IPRIORITYR105,Interrupt Priority Register 105" rgroup.long 0x5A8++0x03 line.long 0x00 "GICD_IPRIORITYR106,Interrupt Priority Register 106" rgroup.long 0x5AC++0x03 line.long 0x00 "GICD_IPRIORITYR107,Interrupt Priority Register 107" rgroup.long 0x5B0++0x03 line.long 0x00 "GICD_IPRIORITYR108,Interrupt Priority Register 108" rgroup.long 0x5B4++0x03 line.long 0x00 "GICD_IPRIORITYR109,Interrupt Priority Register 109" rgroup.long 0x5B8++0x03 line.long 0x00 "GICD_IPRIORITYR110,Interrupt Priority Register 110" rgroup.long 0x5BC++0x03 line.long 0x00 "GICD_IPRIORITYR111,Interrupt Priority Register 111" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x5C0++0x03 line.long 0x00 "GICD_IPRIORITYR112,Interrupt Priority Register 112" hexmask.long.byte 0x00 24.--31. 1. " INTID451 ,Interrupt ID451 Priority/Priority Byte Offset 451 " hexmask.long.byte 0x00 16.--23. 1. " INTID450 ,Interrupt ID450 Priority/Priority Byte Offset 450 " hexmask.long.byte 0x00 8.--15. 1. " INTID449 ,Interrupt ID449 Priority/Priority Byte Offset 449 " hexmask.long.byte 0x00 0.--7. 1. " INTID448 ,Interrupt ID448 Priority/Priority Byte Offset 448 " group.long 0x5C4++0x03 line.long 0x00 "GICD_IPRIORITYR113,Interrupt Priority Register 113" hexmask.long.byte 0x00 24.--31. 1. " INTID455 ,Interrupt ID455 Priority/Priority Byte Offset 455 " hexmask.long.byte 0x00 16.--23. 1. " INTID454 ,Interrupt ID454 Priority/Priority Byte Offset 454 " hexmask.long.byte 0x00 8.--15. 1. " INTID453 ,Interrupt ID453 Priority/Priority Byte Offset 453 " hexmask.long.byte 0x00 0.--7. 1. " INTID452 ,Interrupt ID452 Priority/Priority Byte Offset 452 " group.long 0x5C8++0x03 line.long 0x00 "GICD_IPRIORITYR114,Interrupt Priority Register 114" hexmask.long.byte 0x00 24.--31. 1. " INTID459 ,Interrupt ID459 Priority/Priority Byte Offset 459 " hexmask.long.byte 0x00 16.--23. 1. " INTID458 ,Interrupt ID458 Priority/Priority Byte Offset 458 " hexmask.long.byte 0x00 8.--15. 1. " INTID457 ,Interrupt ID457 Priority/Priority Byte Offset 457 " hexmask.long.byte 0x00 0.--7. 1. " INTID456 ,Interrupt ID456 Priority/Priority Byte Offset 456 " group.long 0x5CC++0x03 line.long 0x00 "GICD_IPRIORITYR115,Interrupt Priority Register 115" hexmask.long.byte 0x00 24.--31. 1. " INTID463 ,Interrupt ID463 Priority/Priority Byte Offset 463 " hexmask.long.byte 0x00 16.--23. 1. " INTID462 ,Interrupt ID462 Priority/Priority Byte Offset 462 " hexmask.long.byte 0x00 8.--15. 1. " INTID461 ,Interrupt ID461 Priority/Priority Byte Offset 461 " hexmask.long.byte 0x00 0.--7. 1. " INTID460 ,Interrupt ID460 Priority/Priority Byte Offset 460 " group.long 0x5D0++0x03 line.long 0x00 "GICD_IPRIORITYR116,Interrupt Priority Register 116" hexmask.long.byte 0x00 24.--31. 1. " INTID467 ,Interrupt ID467 Priority/Priority Byte Offset 467 " hexmask.long.byte 0x00 16.--23. 1. " INTID466 ,Interrupt ID466 Priority/Priority Byte Offset 466 " hexmask.long.byte 0x00 8.--15. 1. " INTID465 ,Interrupt ID465 Priority/Priority Byte Offset 465 " hexmask.long.byte 0x00 0.--7. 1. " INTID464 ,Interrupt ID464 Priority/Priority Byte Offset 464 " group.long 0x5D4++0x03 line.long 0x00 "GICD_IPRIORITYR117,Interrupt Priority Register 117" hexmask.long.byte 0x00 24.--31. 1. " INTID471 ,Interrupt ID471 Priority/Priority Byte Offset 471 " hexmask.long.byte 0x00 16.--23. 1. " INTID470 ,Interrupt ID470 Priority/Priority Byte Offset 470 " hexmask.long.byte 0x00 8.--15. 1. " INTID469 ,Interrupt ID469 Priority/Priority Byte Offset 469 " hexmask.long.byte 0x00 0.--7. 1. " INTID468 ,Interrupt ID468 Priority/Priority Byte Offset 468 " group.long 0x5D8++0x03 line.long 0x00 "GICD_IPRIORITYR118,Interrupt Priority Register 118" hexmask.long.byte 0x00 24.--31. 1. " INTID475 ,Interrupt ID475 Priority/Priority Byte Offset 475 " hexmask.long.byte 0x00 16.--23. 1. " INTID474 ,Interrupt ID474 Priority/Priority Byte Offset 474 " hexmask.long.byte 0x00 8.--15. 1. " INTID473 ,Interrupt ID473 Priority/Priority Byte Offset 473 " hexmask.long.byte 0x00 0.--7. 1. " INTID472 ,Interrupt ID472 Priority/Priority Byte Offset 472 " group.long 0x5DC++0x03 line.long 0x00 "GICD_IPRIORITYR119,Interrupt Priority Register 119" hexmask.long.byte 0x00 24.--31. 1. " INTID479 ,Interrupt ID479 Priority/Priority Byte Offset 479 " hexmask.long.byte 0x00 16.--23. 1. " INTID478 ,Interrupt ID478 Priority/Priority Byte Offset 478 " hexmask.long.byte 0x00 8.--15. 1. " INTID477 ,Interrupt ID477 Priority/Priority Byte Offset 477 " hexmask.long.byte 0x00 0.--7. 1. " INTID476 ,Interrupt ID476 Priority/Priority Byte Offset 476 " else rgroup.long 0x5C0++0x03 line.long 0x00 "GICD_IPRIORITYR112,Interrupt Priority Register 112" rgroup.long 0x5C4++0x03 line.long 0x00 "GICD_IPRIORITYR113,Interrupt Priority Register 113" rgroup.long 0x5C8++0x03 line.long 0x00 "GICD_IPRIORITYR114,Interrupt Priority Register 114" rgroup.long 0x5CC++0x03 line.long 0x00 "GICD_IPRIORITYR115,Interrupt Priority Register 115" rgroup.long 0x5D0++0x03 line.long 0x00 "GICD_IPRIORITYR116,Interrupt Priority Register 116" rgroup.long 0x5D4++0x03 line.long 0x00 "GICD_IPRIORITYR117,Interrupt Priority Register 117" rgroup.long 0x5D8++0x03 line.long 0x00 "GICD_IPRIORITYR118,Interrupt Priority Register 118" rgroup.long 0x5DC++0x03 line.long 0x00 "GICD_IPRIORITYR119,Interrupt Priority Register 119" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x5E0++0x03 line.long 0x00 "GICD_IPRIORITYR120,Interrupt Priority Register 120" hexmask.long.byte 0x00 24.--31. 1. " INTID483 ,Interrupt ID483 Priority/Priority Byte Offset 483 " hexmask.long.byte 0x00 16.--23. 1. " INTID482 ,Interrupt ID482 Priority/Priority Byte Offset 482 " hexmask.long.byte 0x00 8.--15. 1. " INTID481 ,Interrupt ID481 Priority/Priority Byte Offset 481 " hexmask.long.byte 0x00 0.--7. 1. " INTID480 ,Interrupt ID480 Priority/Priority Byte Offset 480 " group.long 0x5E4++0x03 line.long 0x00 "GICD_IPRIORITYR121,Interrupt Priority Register 121" hexmask.long.byte 0x00 24.--31. 1. " INTID487 ,Interrupt ID487 Priority/Priority Byte Offset 487 " hexmask.long.byte 0x00 16.--23. 1. " INTID486 ,Interrupt ID486 Priority/Priority Byte Offset 486 " hexmask.long.byte 0x00 8.--15. 1. " INTID485 ,Interrupt ID485 Priority/Priority Byte Offset 485 " hexmask.long.byte 0x00 0.--7. 1. " INTID484 ,Interrupt ID484 Priority/Priority Byte Offset 484 " group.long 0x5E8++0x03 line.long 0x00 "GICD_IPRIORITYR122,Interrupt Priority Register 122" hexmask.long.byte 0x00 24.--31. 1. " INTID491 ,Interrupt ID491 Priority/Priority Byte Offset 491 " hexmask.long.byte 0x00 16.--23. 1. " INTID490 ,Interrupt ID490 Priority/Priority Byte Offset 490 " hexmask.long.byte 0x00 8.--15. 1. " INTID489 ,Interrupt ID489 Priority/Priority Byte Offset 489 " hexmask.long.byte 0x00 0.--7. 1. " INTID488 ,Interrupt ID488 Priority/Priority Byte Offset 488 " group.long 0x5EC++0x03 line.long 0x00 "GICD_IPRIORITYR123,Interrupt Priority Register 123" hexmask.long.byte 0x00 24.--31. 1. " INTID495 ,Interrupt ID495 Priority/Priority Byte Offset 495 " hexmask.long.byte 0x00 16.--23. 1. " INTID494 ,Interrupt ID494 Priority/Priority Byte Offset 494 " hexmask.long.byte 0x00 8.--15. 1. " INTID493 ,Interrupt ID493 Priority/Priority Byte Offset 493 " hexmask.long.byte 0x00 0.--7. 1. " INTID492 ,Interrupt ID492 Priority/Priority Byte Offset 492 " group.long 0x5F0++0x03 line.long 0x00 "GICD_IPRIORITYR124,Interrupt Priority Register 124" hexmask.long.byte 0x00 24.--31. 1. " INTID499 ,Interrupt ID499 Priority/Priority Byte Offset 499 " hexmask.long.byte 0x00 16.--23. 1. " INTID498 ,Interrupt ID498 Priority/Priority Byte Offset 498 " hexmask.long.byte 0x00 8.--15. 1. " INTID497 ,Interrupt ID497 Priority/Priority Byte Offset 497 " hexmask.long.byte 0x00 0.--7. 1. " INTID496 ,Interrupt ID496 Priority/Priority Byte Offset 496 " group.long 0x5F4++0x03 line.long 0x00 "GICD_IPRIORITYR125,Interrupt Priority Register 125" hexmask.long.byte 0x00 24.--31. 1. " INTID503 ,Interrupt ID503 Priority/Priority Byte Offset 503 " hexmask.long.byte 0x00 16.--23. 1. " INTID502 ,Interrupt ID502 Priority/Priority Byte Offset 502 " hexmask.long.byte 0x00 8.--15. 1. " INTID501 ,Interrupt ID501 Priority/Priority Byte Offset 501 " hexmask.long.byte 0x00 0.--7. 1. " INTID500 ,Interrupt ID500 Priority/Priority Byte Offset 500 " group.long 0x5F8++0x03 line.long 0x00 "GICD_IPRIORITYR126,Interrupt Priority Register 126" hexmask.long.byte 0x00 24.--31. 1. " INTID507 ,Interrupt ID507 Priority/Priority Byte Offset 507 " hexmask.long.byte 0x00 16.--23. 1. " INTID506 ,Interrupt ID506 Priority/Priority Byte Offset 506 " hexmask.long.byte 0x00 8.--15. 1. " INTID505 ,Interrupt ID505 Priority/Priority Byte Offset 505 " hexmask.long.byte 0x00 0.--7. 1. " INTID504 ,Interrupt ID504 Priority/Priority Byte Offset 504 " group.long 0x5FC++0x03 line.long 0x00 "GICD_IPRIORITYR127,Interrupt Priority Register 127" hexmask.long.byte 0x00 24.--31. 1. " INTID511 ,Interrupt ID511 Priority/Priority Byte Offset 511 " hexmask.long.byte 0x00 16.--23. 1. " INTID510 ,Interrupt ID510 Priority/Priority Byte Offset 510 " hexmask.long.byte 0x00 8.--15. 1. " INTID509 ,Interrupt ID509 Priority/Priority Byte Offset 509 " hexmask.long.byte 0x00 0.--7. 1. " INTID508 ,Interrupt ID508 Priority/Priority Byte Offset 508 " else rgroup.long 0x5E0++0x03 line.long 0x00 "GICD_IPRIORITYR120,Interrupt Priority Register 120" rgroup.long 0x5E4++0x03 line.long 0x00 "GICD_IPRIORITYR121,Interrupt Priority Register 121" rgroup.long 0x5E8++0x03 line.long 0x00 "GICD_IPRIORITYR122,Interrupt Priority Register 122" rgroup.long 0x5EC++0x03 line.long 0x00 "GICD_IPRIORITYR123,Interrupt Priority Register 123" rgroup.long 0x5F0++0x03 line.long 0x00 "GICD_IPRIORITYR124,Interrupt Priority Register 124" rgroup.long 0x5F4++0x03 line.long 0x00 "GICD_IPRIORITYR125,Interrupt Priority Register 125" rgroup.long 0x5F8++0x03 line.long 0x00 "GICD_IPRIORITYR126,Interrupt Priority Register 126" rgroup.long 0x5FC++0x03 line.long 0x00 "GICD_IPRIORITYR127,Interrupt Priority Register 127" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0x600++0x03 line.long 0x00 "GICD_IPRIORITYR128,Interrupt Priority Register 128" hexmask.long.byte 0x00 24.--31. 1. " INTID515 ,Interrupt ID515 Priority/Priority Byte Offset 515 " hexmask.long.byte 0x00 16.--23. 1. " INTID514 ,Interrupt ID514 Priority/Priority Byte Offset 514 " hexmask.long.byte 0x00 8.--15. 1. " INTID513 ,Interrupt ID513 Priority/Priority Byte Offset 513 " hexmask.long.byte 0x00 0.--7. 1. " INTID512 ,Interrupt ID512 Priority/Priority Byte Offset 512 " group.long 0x604++0x03 line.long 0x00 "GICD_IPRIORITYR129,Interrupt Priority Register 129" hexmask.long.byte 0x00 24.--31. 1. " INTID519 ,Interrupt ID519 Priority/Priority Byte Offset 519 " hexmask.long.byte 0x00 16.--23. 1. " INTID518 ,Interrupt ID518 Priority/Priority Byte Offset 518 " hexmask.long.byte 0x00 8.--15. 1. " INTID517 ,Interrupt ID517 Priority/Priority Byte Offset 517 " hexmask.long.byte 0x00 0.--7. 1. " INTID516 ,Interrupt ID516 Priority/Priority Byte Offset 516 " group.long 0x608++0x03 line.long 0x00 "GICD_IPRIORITYR130,Interrupt Priority Register 130" hexmask.long.byte 0x00 24.--31. 1. " INTID523 ,Interrupt ID523 Priority/Priority Byte Offset 523 " hexmask.long.byte 0x00 16.--23. 1. " INTID522 ,Interrupt ID522 Priority/Priority Byte Offset 522 " hexmask.long.byte 0x00 8.--15. 1. " INTID521 ,Interrupt ID521 Priority/Priority Byte Offset 521 " hexmask.long.byte 0x00 0.--7. 1. " INTID520 ,Interrupt ID520 Priority/Priority Byte Offset 520 " group.long 0x60C++0x03 line.long 0x00 "GICD_IPRIORITYR131,Interrupt Priority Register 131" hexmask.long.byte 0x00 24.--31. 1. " INTID527 ,Interrupt ID527 Priority/Priority Byte Offset 527 " hexmask.long.byte 0x00 16.--23. 1. " INTID526 ,Interrupt ID526 Priority/Priority Byte Offset 526 " hexmask.long.byte 0x00 8.--15. 1. " INTID525 ,Interrupt ID525 Priority/Priority Byte Offset 525 " hexmask.long.byte 0x00 0.--7. 1. " INTID524 ,Interrupt ID524 Priority/Priority Byte Offset 524 " group.long 0x610++0x03 line.long 0x00 "GICD_IPRIORITYR132,Interrupt Priority Register 132" hexmask.long.byte 0x00 24.--31. 1. " INTID531 ,Interrupt ID531 Priority/Priority Byte Offset 531 " hexmask.long.byte 0x00 16.--23. 1. " INTID530 ,Interrupt ID530 Priority/Priority Byte Offset 530 " hexmask.long.byte 0x00 8.--15. 1. " INTID529 ,Interrupt ID529 Priority/Priority Byte Offset 529 " hexmask.long.byte 0x00 0.--7. 1. " INTID528 ,Interrupt ID528 Priority/Priority Byte Offset 528 " group.long 0x614++0x03 line.long 0x00 "GICD_IPRIORITYR133,Interrupt Priority Register 133" hexmask.long.byte 0x00 24.--31. 1. " INTID535 ,Interrupt ID535 Priority/Priority Byte Offset 535 " hexmask.long.byte 0x00 16.--23. 1. " INTID534 ,Interrupt ID534 Priority/Priority Byte Offset 534 " hexmask.long.byte 0x00 8.--15. 1. " INTID533 ,Interrupt ID533 Priority/Priority Byte Offset 533 " hexmask.long.byte 0x00 0.--7. 1. " INTID532 ,Interrupt ID532 Priority/Priority Byte Offset 532 " group.long 0x618++0x03 line.long 0x00 "GICD_IPRIORITYR134,Interrupt Priority Register 134" hexmask.long.byte 0x00 24.--31. 1. " INTID539 ,Interrupt ID539 Priority/Priority Byte Offset 539 " hexmask.long.byte 0x00 16.--23. 1. " INTID538 ,Interrupt ID538 Priority/Priority Byte Offset 538 " hexmask.long.byte 0x00 8.--15. 1. " INTID537 ,Interrupt ID537 Priority/Priority Byte Offset 537 " hexmask.long.byte 0x00 0.--7. 1. " INTID536 ,Interrupt ID536 Priority/Priority Byte Offset 536 " group.long 0x61C++0x03 line.long 0x00 "GICD_IPRIORITYR135,Interrupt Priority Register 135" hexmask.long.byte 0x00 24.--31. 1. " INTID543 ,Interrupt ID543 Priority/Priority Byte Offset 543 " hexmask.long.byte 0x00 16.--23. 1. " INTID542 ,Interrupt ID542 Priority/Priority Byte Offset 542 " hexmask.long.byte 0x00 8.--15. 1. " INTID541 ,Interrupt ID541 Priority/Priority Byte Offset 541 " hexmask.long.byte 0x00 0.--7. 1. " INTID540 ,Interrupt ID540 Priority/Priority Byte Offset 540 " else rgroup.long 0x600++0x03 line.long 0x00 "GICD_IPRIORITYR128,Interrupt Priority Register 128" rgroup.long 0x604++0x03 line.long 0x00 "GICD_IPRIORITYR129,Interrupt Priority Register 129" rgroup.long 0x608++0x03 line.long 0x00 "GICD_IPRIORITYR130,Interrupt Priority Register 130" rgroup.long 0x60C++0x03 line.long 0x00 "GICD_IPRIORITYR131,Interrupt Priority Register 131" rgroup.long 0x610++0x03 line.long 0x00 "GICD_IPRIORITYR132,Interrupt Priority Register 132" rgroup.long 0x614++0x03 line.long 0x00 "GICD_IPRIORITYR133,Interrupt Priority Register 133" rgroup.long 0x618++0x03 line.long 0x00 "GICD_IPRIORITYR134,Interrupt Priority Register 134" rgroup.long 0x61C++0x03 line.long 0x00 "GICD_IPRIORITYR135,Interrupt Priority Register 135" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0x620++0x03 line.long 0x00 "GICD_IPRIORITYR136,Interrupt Priority Register 136" hexmask.long.byte 0x00 24.--31. 1. " INTID547 ,Interrupt ID547 Priority/Priority Byte Offset 547 " hexmask.long.byte 0x00 16.--23. 1. " INTID546 ,Interrupt ID546 Priority/Priority Byte Offset 546 " hexmask.long.byte 0x00 8.--15. 1. " INTID545 ,Interrupt ID545 Priority/Priority Byte Offset 545 " hexmask.long.byte 0x00 0.--7. 1. " INTID544 ,Interrupt ID544 Priority/Priority Byte Offset 544 " group.long 0x624++0x03 line.long 0x00 "GICD_IPRIORITYR137,Interrupt Priority Register 137" hexmask.long.byte 0x00 24.--31. 1. " INTID551 ,Interrupt ID551 Priority/Priority Byte Offset 551 " hexmask.long.byte 0x00 16.--23. 1. " INTID550 ,Interrupt ID550 Priority/Priority Byte Offset 550 " hexmask.long.byte 0x00 8.--15. 1. " INTID549 ,Interrupt ID549 Priority/Priority Byte Offset 549 " hexmask.long.byte 0x00 0.--7. 1. " INTID548 ,Interrupt ID548 Priority/Priority Byte Offset 548 " group.long 0x628++0x03 line.long 0x00 "GICD_IPRIORITYR138,Interrupt Priority Register 138" hexmask.long.byte 0x00 24.--31. 1. " INTID555 ,Interrupt ID555 Priority/Priority Byte Offset 555 " hexmask.long.byte 0x00 16.--23. 1. " INTID554 ,Interrupt ID554 Priority/Priority Byte Offset 554 " hexmask.long.byte 0x00 8.--15. 1. " INTID553 ,Interrupt ID553 Priority/Priority Byte Offset 553 " hexmask.long.byte 0x00 0.--7. 1. " INTID552 ,Interrupt ID552 Priority/Priority Byte Offset 552 " group.long 0x62C++0x03 line.long 0x00 "GICD_IPRIORITYR139,Interrupt Priority Register 139" hexmask.long.byte 0x00 24.--31. 1. " INTID559 ,Interrupt ID559 Priority/Priority Byte Offset 559 " hexmask.long.byte 0x00 16.--23. 1. " INTID558 ,Interrupt ID558 Priority/Priority Byte Offset 558 " hexmask.long.byte 0x00 8.--15. 1. " INTID557 ,Interrupt ID557 Priority/Priority Byte Offset 557 " hexmask.long.byte 0x00 0.--7. 1. " INTID556 ,Interrupt ID556 Priority/Priority Byte Offset 556 " group.long 0x630++0x03 line.long 0x00 "GICD_IPRIORITYR140,Interrupt Priority Register 140" hexmask.long.byte 0x00 24.--31. 1. " INTID563 ,Interrupt ID563 Priority/Priority Byte Offset 563 " hexmask.long.byte 0x00 16.--23. 1. " INTID562 ,Interrupt ID562 Priority/Priority Byte Offset 562 " hexmask.long.byte 0x00 8.--15. 1. " INTID561 ,Interrupt ID561 Priority/Priority Byte Offset 561 " hexmask.long.byte 0x00 0.--7. 1. " INTID560 ,Interrupt ID560 Priority/Priority Byte Offset 560 " group.long 0x634++0x03 line.long 0x00 "GICD_IPRIORITYR141,Interrupt Priority Register 141" hexmask.long.byte 0x00 24.--31. 1. " INTID567 ,Interrupt ID567 Priority/Priority Byte Offset 567 " hexmask.long.byte 0x00 16.--23. 1. " INTID566 ,Interrupt ID566 Priority/Priority Byte Offset 566 " hexmask.long.byte 0x00 8.--15. 1. " INTID565 ,Interrupt ID565 Priority/Priority Byte Offset 565 " hexmask.long.byte 0x00 0.--7. 1. " INTID564 ,Interrupt ID564 Priority/Priority Byte Offset 564 " group.long 0x638++0x03 line.long 0x00 "GICD_IPRIORITYR142,Interrupt Priority Register 142" hexmask.long.byte 0x00 24.--31. 1. " INTID571 ,Interrupt ID571 Priority/Priority Byte Offset 571 " hexmask.long.byte 0x00 16.--23. 1. " INTID570 ,Interrupt ID570 Priority/Priority Byte Offset 570 " hexmask.long.byte 0x00 8.--15. 1. " INTID569 ,Interrupt ID569 Priority/Priority Byte Offset 569 " hexmask.long.byte 0x00 0.--7. 1. " INTID568 ,Interrupt ID568 Priority/Priority Byte Offset 568 " group.long 0x63C++0x03 line.long 0x00 "GICD_IPRIORITYR143,Interrupt Priority Register 143" hexmask.long.byte 0x00 24.--31. 1. " INTID575 ,Interrupt ID575 Priority/Priority Byte Offset 575 " hexmask.long.byte 0x00 16.--23. 1. " INTID574 ,Interrupt ID574 Priority/Priority Byte Offset 574 " hexmask.long.byte 0x00 8.--15. 1. " INTID573 ,Interrupt ID573 Priority/Priority Byte Offset 573 " hexmask.long.byte 0x00 0.--7. 1. " INTID572 ,Interrupt ID572 Priority/Priority Byte Offset 572 " else rgroup.long 0x620++0x03 line.long 0x00 "GICD_IPRIORITYR136,Interrupt Priority Register 136" rgroup.long 0x624++0x03 line.long 0x00 "GICD_IPRIORITYR137,Interrupt Priority Register 137" rgroup.long 0x628++0x03 line.long 0x00 "GICD_IPRIORITYR138,Interrupt Priority Register 138" rgroup.long 0x62C++0x03 line.long 0x00 "GICD_IPRIORITYR139,Interrupt Priority Register 139" rgroup.long 0x630++0x03 line.long 0x00 "GICD_IPRIORITYR140,Interrupt Priority Register 140" rgroup.long 0x634++0x03 line.long 0x00 "GICD_IPRIORITYR141,Interrupt Priority Register 141" rgroup.long 0x638++0x03 line.long 0x00 "GICD_IPRIORITYR142,Interrupt Priority Register 142" rgroup.long 0x63C++0x03 line.long 0x00 "GICD_IPRIORITYR143,Interrupt Priority Register 143" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0x640++0x03 line.long 0x00 "GICD_IPRIORITYR144,Interrupt Priority Register 144" hexmask.long.byte 0x00 24.--31. 1. " INTID579 ,Interrupt ID579 Priority/Priority Byte Offset 579 " hexmask.long.byte 0x00 16.--23. 1. " INTID578 ,Interrupt ID578 Priority/Priority Byte Offset 578 " hexmask.long.byte 0x00 8.--15. 1. " INTID577 ,Interrupt ID577 Priority/Priority Byte Offset 577 " hexmask.long.byte 0x00 0.--7. 1. " INTID576 ,Interrupt ID576 Priority/Priority Byte Offset 576 " group.long 0x644++0x03 line.long 0x00 "GICD_IPRIORITYR145,Interrupt Priority Register 145" hexmask.long.byte 0x00 24.--31. 1. " INTID583 ,Interrupt ID583 Priority/Priority Byte Offset 583 " hexmask.long.byte 0x00 16.--23. 1. " INTID582 ,Interrupt ID582 Priority/Priority Byte Offset 582 " hexmask.long.byte 0x00 8.--15. 1. " INTID581 ,Interrupt ID581 Priority/Priority Byte Offset 581 " hexmask.long.byte 0x00 0.--7. 1. " INTID580 ,Interrupt ID580 Priority/Priority Byte Offset 580 " group.long 0x648++0x03 line.long 0x00 "GICD_IPRIORITYR146,Interrupt Priority Register 146" hexmask.long.byte 0x00 24.--31. 1. " INTID587 ,Interrupt ID587 Priority/Priority Byte Offset 587 " hexmask.long.byte 0x00 16.--23. 1. " INTID586 ,Interrupt ID586 Priority/Priority Byte Offset 586 " hexmask.long.byte 0x00 8.--15. 1. " INTID585 ,Interrupt ID585 Priority/Priority Byte Offset 585 " hexmask.long.byte 0x00 0.--7. 1. " INTID584 ,Interrupt ID584 Priority/Priority Byte Offset 584 " group.long 0x64C++0x03 line.long 0x00 "GICD_IPRIORITYR147,Interrupt Priority Register 147" hexmask.long.byte 0x00 24.--31. 1. " INTID591 ,Interrupt ID591 Priority/Priority Byte Offset 591 " hexmask.long.byte 0x00 16.--23. 1. " INTID590 ,Interrupt ID590 Priority/Priority Byte Offset 590 " hexmask.long.byte 0x00 8.--15. 1. " INTID589 ,Interrupt ID589 Priority/Priority Byte Offset 589 " hexmask.long.byte 0x00 0.--7. 1. " INTID588 ,Interrupt ID588 Priority/Priority Byte Offset 588 " group.long 0x650++0x03 line.long 0x00 "GICD_IPRIORITYR148,Interrupt Priority Register 148" hexmask.long.byte 0x00 24.--31. 1. " INTID595 ,Interrupt ID595 Priority/Priority Byte Offset 595 " hexmask.long.byte 0x00 16.--23. 1. " INTID594 ,Interrupt ID594 Priority/Priority Byte Offset 594 " hexmask.long.byte 0x00 8.--15. 1. " INTID593 ,Interrupt ID593 Priority/Priority Byte Offset 593 " hexmask.long.byte 0x00 0.--7. 1. " INTID592 ,Interrupt ID592 Priority/Priority Byte Offset 592 " group.long 0x654++0x03 line.long 0x00 "GICD_IPRIORITYR149,Interrupt Priority Register 149" hexmask.long.byte 0x00 24.--31. 1. " INTID599 ,Interrupt ID599 Priority/Priority Byte Offset 599 " hexmask.long.byte 0x00 16.--23. 1. " INTID598 ,Interrupt ID598 Priority/Priority Byte Offset 598 " hexmask.long.byte 0x00 8.--15. 1. " INTID597 ,Interrupt ID597 Priority/Priority Byte Offset 597 " hexmask.long.byte 0x00 0.--7. 1. " INTID596 ,Interrupt ID596 Priority/Priority Byte Offset 596 " group.long 0x658++0x03 line.long 0x00 "GICD_IPRIORITYR150,Interrupt Priority Register 150" hexmask.long.byte 0x00 24.--31. 1. " INTID603 ,Interrupt ID603 Priority/Priority Byte Offset 603 " hexmask.long.byte 0x00 16.--23. 1. " INTID602 ,Interrupt ID602 Priority/Priority Byte Offset 602 " hexmask.long.byte 0x00 8.--15. 1. " INTID601 ,Interrupt ID601 Priority/Priority Byte Offset 601 " hexmask.long.byte 0x00 0.--7. 1. " INTID600 ,Interrupt ID600 Priority/Priority Byte Offset 600 " group.long 0x65C++0x03 line.long 0x00 "GICD_IPRIORITYR151,Interrupt Priority Register 151" hexmask.long.byte 0x00 24.--31. 1. " INTID607 ,Interrupt ID607 Priority/Priority Byte Offset 607 " hexmask.long.byte 0x00 16.--23. 1. " INTID606 ,Interrupt ID606 Priority/Priority Byte Offset 606 " hexmask.long.byte 0x00 8.--15. 1. " INTID605 ,Interrupt ID605 Priority/Priority Byte Offset 605 " hexmask.long.byte 0x00 0.--7. 1. " INTID604 ,Interrupt ID604 Priority/Priority Byte Offset 604 " else rgroup.long 0x640++0x03 line.long 0x00 "GICD_IPRIORITYR144,Interrupt Priority Register 144" rgroup.long 0x644++0x03 line.long 0x00 "GICD_IPRIORITYR145,Interrupt Priority Register 145" rgroup.long 0x648++0x03 line.long 0x00 "GICD_IPRIORITYR146,Interrupt Priority Register 146" rgroup.long 0x64C++0x03 line.long 0x00 "GICD_IPRIORITYR147,Interrupt Priority Register 147" rgroup.long 0x650++0x03 line.long 0x00 "GICD_IPRIORITYR148,Interrupt Priority Register 148" rgroup.long 0x654++0x03 line.long 0x00 "GICD_IPRIORITYR149,Interrupt Priority Register 149" rgroup.long 0x658++0x03 line.long 0x00 "GICD_IPRIORITYR150,Interrupt Priority Register 150" rgroup.long 0x65C++0x03 line.long 0x00 "GICD_IPRIORITYR151,Interrupt Priority Register 151" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0x660++0x03 line.long 0x00 "GICD_IPRIORITYR152,Interrupt Priority Register 152" hexmask.long.byte 0x00 24.--31. 1. " INTID611 ,Interrupt ID611 Priority/Priority Byte Offset 611 " hexmask.long.byte 0x00 16.--23. 1. " INTID610 ,Interrupt ID610 Priority/Priority Byte Offset 610 " hexmask.long.byte 0x00 8.--15. 1. " INTID609 ,Interrupt ID609 Priority/Priority Byte Offset 609 " hexmask.long.byte 0x00 0.--7. 1. " INTID608 ,Interrupt ID608 Priority/Priority Byte Offset 608 " group.long 0x664++0x03 line.long 0x00 "GICD_IPRIORITYR153,Interrupt Priority Register 153" hexmask.long.byte 0x00 24.--31. 1. " INTID615 ,Interrupt ID615 Priority/Priority Byte Offset 615 " hexmask.long.byte 0x00 16.--23. 1. " INTID614 ,Interrupt ID614 Priority/Priority Byte Offset 614 " hexmask.long.byte 0x00 8.--15. 1. " INTID613 ,Interrupt ID613 Priority/Priority Byte Offset 613 " hexmask.long.byte 0x00 0.--7. 1. " INTID612 ,Interrupt ID612 Priority/Priority Byte Offset 612 " group.long 0x668++0x03 line.long 0x00 "GICD_IPRIORITYR154,Interrupt Priority Register 154" hexmask.long.byte 0x00 24.--31. 1. " INTID619 ,Interrupt ID619 Priority/Priority Byte Offset 619 " hexmask.long.byte 0x00 16.--23. 1. " INTID618 ,Interrupt ID618 Priority/Priority Byte Offset 618 " hexmask.long.byte 0x00 8.--15. 1. " INTID617 ,Interrupt ID617 Priority/Priority Byte Offset 617 " hexmask.long.byte 0x00 0.--7. 1. " INTID616 ,Interrupt ID616 Priority/Priority Byte Offset 616 " group.long 0x66C++0x03 line.long 0x00 "GICD_IPRIORITYR155,Interrupt Priority Register 155" hexmask.long.byte 0x00 24.--31. 1. " INTID623 ,Interrupt ID623 Priority/Priority Byte Offset 623 " hexmask.long.byte 0x00 16.--23. 1. " INTID622 ,Interrupt ID622 Priority/Priority Byte Offset 622 " hexmask.long.byte 0x00 8.--15. 1. " INTID621 ,Interrupt ID621 Priority/Priority Byte Offset 621 " hexmask.long.byte 0x00 0.--7. 1. " INTID620 ,Interrupt ID620 Priority/Priority Byte Offset 620 " group.long 0x670++0x03 line.long 0x00 "GICD_IPRIORITYR156,Interrupt Priority Register 156" hexmask.long.byte 0x00 24.--31. 1. " INTID627 ,Interrupt ID627 Priority/Priority Byte Offset 627 " hexmask.long.byte 0x00 16.--23. 1. " INTID626 ,Interrupt ID626 Priority/Priority Byte Offset 626 " hexmask.long.byte 0x00 8.--15. 1. " INTID625 ,Interrupt ID625 Priority/Priority Byte Offset 625 " hexmask.long.byte 0x00 0.--7. 1. " INTID624 ,Interrupt ID624 Priority/Priority Byte Offset 624 " group.long 0x674++0x03 line.long 0x00 "GICD_IPRIORITYR157,Interrupt Priority Register 157" hexmask.long.byte 0x00 24.--31. 1. " INTID631 ,Interrupt ID631 Priority/Priority Byte Offset 631 " hexmask.long.byte 0x00 16.--23. 1. " INTID630 ,Interrupt ID630 Priority/Priority Byte Offset 630 " hexmask.long.byte 0x00 8.--15. 1. " INTID629 ,Interrupt ID629 Priority/Priority Byte Offset 629 " hexmask.long.byte 0x00 0.--7. 1. " INTID628 ,Interrupt ID628 Priority/Priority Byte Offset 628 " group.long 0x678++0x03 line.long 0x00 "GICD_IPRIORITYR158,Interrupt Priority Register 158" hexmask.long.byte 0x00 24.--31. 1. " INTID635 ,Interrupt ID635 Priority/Priority Byte Offset 635 " hexmask.long.byte 0x00 16.--23. 1. " INTID634 ,Interrupt ID634 Priority/Priority Byte Offset 634 " hexmask.long.byte 0x00 8.--15. 1. " INTID633 ,Interrupt ID633 Priority/Priority Byte Offset 633 " hexmask.long.byte 0x00 0.--7. 1. " INTID632 ,Interrupt ID632 Priority/Priority Byte Offset 632 " group.long 0x67C++0x03 line.long 0x00 "GICD_IPRIORITYR159,Interrupt Priority Register 159" hexmask.long.byte 0x00 24.--31. 1. " INTID639 ,Interrupt ID639 Priority/Priority Byte Offset 639 " hexmask.long.byte 0x00 16.--23. 1. " INTID638 ,Interrupt ID638 Priority/Priority Byte Offset 638 " hexmask.long.byte 0x00 8.--15. 1. " INTID637 ,Interrupt ID637 Priority/Priority Byte Offset 637 " hexmask.long.byte 0x00 0.--7. 1. " INTID636 ,Interrupt ID636 Priority/Priority Byte Offset 636 " else rgroup.long 0x660++0x03 line.long 0x00 "GICD_IPRIORITYR152,Interrupt Priority Register 152" rgroup.long 0x664++0x03 line.long 0x00 "GICD_IPRIORITYR153,Interrupt Priority Register 153" rgroup.long 0x668++0x03 line.long 0x00 "GICD_IPRIORITYR154,Interrupt Priority Register 154" rgroup.long 0x66C++0x03 line.long 0x00 "GICD_IPRIORITYR155,Interrupt Priority Register 155" rgroup.long 0x670++0x03 line.long 0x00 "GICD_IPRIORITYR156,Interrupt Priority Register 156" rgroup.long 0x674++0x03 line.long 0x00 "GICD_IPRIORITYR157,Interrupt Priority Register 157" rgroup.long 0x678++0x03 line.long 0x00 "GICD_IPRIORITYR158,Interrupt Priority Register 158" rgroup.long 0x67C++0x03 line.long 0x00 "GICD_IPRIORITYR159,Interrupt Priority Register 159" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0x680++0x03 line.long 0x00 "GICD_IPRIORITYR160,Interrupt Priority Register 160" hexmask.long.byte 0x00 24.--31. 1. " INTID643 ,Interrupt ID643 Priority/Priority Byte Offset 643 " hexmask.long.byte 0x00 16.--23. 1. " INTID642 ,Interrupt ID642 Priority/Priority Byte Offset 642 " hexmask.long.byte 0x00 8.--15. 1. " INTID641 ,Interrupt ID641 Priority/Priority Byte Offset 641 " hexmask.long.byte 0x00 0.--7. 1. " INTID640 ,Interrupt ID640 Priority/Priority Byte Offset 640 " group.long 0x684++0x03 line.long 0x00 "GICD_IPRIORITYR161,Interrupt Priority Register 161" hexmask.long.byte 0x00 24.--31. 1. " INTID647 ,Interrupt ID647 Priority/Priority Byte Offset 647 " hexmask.long.byte 0x00 16.--23. 1. " INTID646 ,Interrupt ID646 Priority/Priority Byte Offset 646 " hexmask.long.byte 0x00 8.--15. 1. " INTID645 ,Interrupt ID645 Priority/Priority Byte Offset 645 " hexmask.long.byte 0x00 0.--7. 1. " INTID644 ,Interrupt ID644 Priority/Priority Byte Offset 644 " group.long 0x688++0x03 line.long 0x00 "GICD_IPRIORITYR162,Interrupt Priority Register 162" hexmask.long.byte 0x00 24.--31. 1. " INTID651 ,Interrupt ID651 Priority/Priority Byte Offset 651 " hexmask.long.byte 0x00 16.--23. 1. " INTID650 ,Interrupt ID650 Priority/Priority Byte Offset 650 " hexmask.long.byte 0x00 8.--15. 1. " INTID649 ,Interrupt ID649 Priority/Priority Byte Offset 649 " hexmask.long.byte 0x00 0.--7. 1. " INTID648 ,Interrupt ID648 Priority/Priority Byte Offset 648 " group.long 0x68C++0x03 line.long 0x00 "GICD_IPRIORITYR163,Interrupt Priority Register 163" hexmask.long.byte 0x00 24.--31. 1. " INTID655 ,Interrupt ID655 Priority/Priority Byte Offset 655 " hexmask.long.byte 0x00 16.--23. 1. " INTID654 ,Interrupt ID654 Priority/Priority Byte Offset 654 " hexmask.long.byte 0x00 8.--15. 1. " INTID653 ,Interrupt ID653 Priority/Priority Byte Offset 653 " hexmask.long.byte 0x00 0.--7. 1. " INTID652 ,Interrupt ID652 Priority/Priority Byte Offset 652 " group.long 0x690++0x03 line.long 0x00 "GICD_IPRIORITYR164,Interrupt Priority Register 164" hexmask.long.byte 0x00 24.--31. 1. " INTID659 ,Interrupt ID659 Priority/Priority Byte Offset 659 " hexmask.long.byte 0x00 16.--23. 1. " INTID658 ,Interrupt ID658 Priority/Priority Byte Offset 658 " hexmask.long.byte 0x00 8.--15. 1. " INTID657 ,Interrupt ID657 Priority/Priority Byte Offset 657 " hexmask.long.byte 0x00 0.--7. 1. " INTID656 ,Interrupt ID656 Priority/Priority Byte Offset 656 " group.long 0x694++0x03 line.long 0x00 "GICD_IPRIORITYR165,Interrupt Priority Register 165" hexmask.long.byte 0x00 24.--31. 1. " INTID663 ,Interrupt ID663 Priority/Priority Byte Offset 663 " hexmask.long.byte 0x00 16.--23. 1. " INTID662 ,Interrupt ID662 Priority/Priority Byte Offset 662 " hexmask.long.byte 0x00 8.--15. 1. " INTID661 ,Interrupt ID661 Priority/Priority Byte Offset 661 " hexmask.long.byte 0x00 0.--7. 1. " INTID660 ,Interrupt ID660 Priority/Priority Byte Offset 660 " group.long 0x698++0x03 line.long 0x00 "GICD_IPRIORITYR166,Interrupt Priority Register 166" hexmask.long.byte 0x00 24.--31. 1. " INTID667 ,Interrupt ID667 Priority/Priority Byte Offset 667 " hexmask.long.byte 0x00 16.--23. 1. " INTID666 ,Interrupt ID666 Priority/Priority Byte Offset 666 " hexmask.long.byte 0x00 8.--15. 1. " INTID665 ,Interrupt ID665 Priority/Priority Byte Offset 665 " hexmask.long.byte 0x00 0.--7. 1. " INTID664 ,Interrupt ID664 Priority/Priority Byte Offset 664 " group.long 0x69C++0x03 line.long 0x00 "GICD_IPRIORITYR167,Interrupt Priority Register 167" hexmask.long.byte 0x00 24.--31. 1. " INTID671 ,Interrupt ID671 Priority/Priority Byte Offset 671 " hexmask.long.byte 0x00 16.--23. 1. " INTID670 ,Interrupt ID670 Priority/Priority Byte Offset 670 " hexmask.long.byte 0x00 8.--15. 1. " INTID669 ,Interrupt ID669 Priority/Priority Byte Offset 669 " hexmask.long.byte 0x00 0.--7. 1. " INTID668 ,Interrupt ID668 Priority/Priority Byte Offset 668 " else rgroup.long 0x680++0x03 line.long 0x00 "GICD_IPRIORITYR160,Interrupt Priority Register 160" rgroup.long 0x684++0x03 line.long 0x00 "GICD_IPRIORITYR161,Interrupt Priority Register 161" rgroup.long 0x688++0x03 line.long 0x00 "GICD_IPRIORITYR162,Interrupt Priority Register 162" rgroup.long 0x68C++0x03 line.long 0x00 "GICD_IPRIORITYR163,Interrupt Priority Register 163" rgroup.long 0x690++0x03 line.long 0x00 "GICD_IPRIORITYR164,Interrupt Priority Register 164" rgroup.long 0x694++0x03 line.long 0x00 "GICD_IPRIORITYR165,Interrupt Priority Register 165" rgroup.long 0x698++0x03 line.long 0x00 "GICD_IPRIORITYR166,Interrupt Priority Register 166" rgroup.long 0x69C++0x03 line.long 0x00 "GICD_IPRIORITYR167,Interrupt Priority Register 167" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0x6A0++0x03 line.long 0x00 "GICD_IPRIORITYR168,Interrupt Priority Register 168" hexmask.long.byte 0x00 24.--31. 1. " INTID675 ,Interrupt ID675 Priority/Priority Byte Offset 675 " hexmask.long.byte 0x00 16.--23. 1. " INTID674 ,Interrupt ID674 Priority/Priority Byte Offset 674 " hexmask.long.byte 0x00 8.--15. 1. " INTID673 ,Interrupt ID673 Priority/Priority Byte Offset 673 " hexmask.long.byte 0x00 0.--7. 1. " INTID672 ,Interrupt ID672 Priority/Priority Byte Offset 672 " group.long 0x6A4++0x03 line.long 0x00 "GICD_IPRIORITYR169,Interrupt Priority Register 169" hexmask.long.byte 0x00 24.--31. 1. " INTID679 ,Interrupt ID679 Priority/Priority Byte Offset 679 " hexmask.long.byte 0x00 16.--23. 1. " INTID678 ,Interrupt ID678 Priority/Priority Byte Offset 678 " hexmask.long.byte 0x00 8.--15. 1. " INTID677 ,Interrupt ID677 Priority/Priority Byte Offset 677 " hexmask.long.byte 0x00 0.--7. 1. " INTID676 ,Interrupt ID676 Priority/Priority Byte Offset 676 " group.long 0x6A8++0x03 line.long 0x00 "GICD_IPRIORITYR170,Interrupt Priority Register 170" hexmask.long.byte 0x00 24.--31. 1. " INTID683 ,Interrupt ID683 Priority/Priority Byte Offset 683 " hexmask.long.byte 0x00 16.--23. 1. " INTID682 ,Interrupt ID682 Priority/Priority Byte Offset 682 " hexmask.long.byte 0x00 8.--15. 1. " INTID681 ,Interrupt ID681 Priority/Priority Byte Offset 681 " hexmask.long.byte 0x00 0.--7. 1. " INTID680 ,Interrupt ID680 Priority/Priority Byte Offset 680 " group.long 0x6AC++0x03 line.long 0x00 "GICD_IPRIORITYR171,Interrupt Priority Register 171" hexmask.long.byte 0x00 24.--31. 1. " INTID687 ,Interrupt ID687 Priority/Priority Byte Offset 687 " hexmask.long.byte 0x00 16.--23. 1. " INTID686 ,Interrupt ID686 Priority/Priority Byte Offset 686 " hexmask.long.byte 0x00 8.--15. 1. " INTID685 ,Interrupt ID685 Priority/Priority Byte Offset 685 " hexmask.long.byte 0x00 0.--7. 1. " INTID684 ,Interrupt ID684 Priority/Priority Byte Offset 684 " group.long 0x6B0++0x03 line.long 0x00 "GICD_IPRIORITYR172,Interrupt Priority Register 172" hexmask.long.byte 0x00 24.--31. 1. " INTID691 ,Interrupt ID691 Priority/Priority Byte Offset 691 " hexmask.long.byte 0x00 16.--23. 1. " INTID690 ,Interrupt ID690 Priority/Priority Byte Offset 690 " hexmask.long.byte 0x00 8.--15. 1. " INTID689 ,Interrupt ID689 Priority/Priority Byte Offset 689 " hexmask.long.byte 0x00 0.--7. 1. " INTID688 ,Interrupt ID688 Priority/Priority Byte Offset 688 " group.long 0x6B4++0x03 line.long 0x00 "GICD_IPRIORITYR173,Interrupt Priority Register 173" hexmask.long.byte 0x00 24.--31. 1. " INTID695 ,Interrupt ID695 Priority/Priority Byte Offset 695 " hexmask.long.byte 0x00 16.--23. 1. " INTID694 ,Interrupt ID694 Priority/Priority Byte Offset 694 " hexmask.long.byte 0x00 8.--15. 1. " INTID693 ,Interrupt ID693 Priority/Priority Byte Offset 693 " hexmask.long.byte 0x00 0.--7. 1. " INTID692 ,Interrupt ID692 Priority/Priority Byte Offset 692 " group.long 0x6B8++0x03 line.long 0x00 "GICD_IPRIORITYR174,Interrupt Priority Register 174" hexmask.long.byte 0x00 24.--31. 1. " INTID699 ,Interrupt ID699 Priority/Priority Byte Offset 699 " hexmask.long.byte 0x00 16.--23. 1. " INTID698 ,Interrupt ID698 Priority/Priority Byte Offset 698 " hexmask.long.byte 0x00 8.--15. 1. " INTID697 ,Interrupt ID697 Priority/Priority Byte Offset 697 " hexmask.long.byte 0x00 0.--7. 1. " INTID696 ,Interrupt ID696 Priority/Priority Byte Offset 696 " group.long 0x6BC++0x03 line.long 0x00 "GICD_IPRIORITYR175,Interrupt Priority Register 175" hexmask.long.byte 0x00 24.--31. 1. " INTID703 ,Interrupt ID703 Priority/Priority Byte Offset 703 " hexmask.long.byte 0x00 16.--23. 1. " INTID702 ,Interrupt ID702 Priority/Priority Byte Offset 702 " hexmask.long.byte 0x00 8.--15. 1. " INTID701 ,Interrupt ID701 Priority/Priority Byte Offset 701 " hexmask.long.byte 0x00 0.--7. 1. " INTID700 ,Interrupt ID700 Priority/Priority Byte Offset 700 " else rgroup.long 0x6A0++0x03 line.long 0x00 "GICD_IPRIORITYR168,Interrupt Priority Register 168" rgroup.long 0x6A4++0x03 line.long 0x00 "GICD_IPRIORITYR169,Interrupt Priority Register 169" rgroup.long 0x6A8++0x03 line.long 0x00 "GICD_IPRIORITYR170,Interrupt Priority Register 170" rgroup.long 0x6AC++0x03 line.long 0x00 "GICD_IPRIORITYR171,Interrupt Priority Register 171" rgroup.long 0x6B0++0x03 line.long 0x00 "GICD_IPRIORITYR172,Interrupt Priority Register 172" rgroup.long 0x6B4++0x03 line.long 0x00 "GICD_IPRIORITYR173,Interrupt Priority Register 173" rgroup.long 0x6B8++0x03 line.long 0x00 "GICD_IPRIORITYR174,Interrupt Priority Register 174" rgroup.long 0x6BC++0x03 line.long 0x00 "GICD_IPRIORITYR175,Interrupt Priority Register 175" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0x6C0++0x03 line.long 0x00 "GICD_IPRIORITYR176,Interrupt Priority Register 176" hexmask.long.byte 0x00 24.--31. 1. " INTID707 ,Interrupt ID707 Priority/Priority Byte Offset 707 " hexmask.long.byte 0x00 16.--23. 1. " INTID706 ,Interrupt ID706 Priority/Priority Byte Offset 706 " hexmask.long.byte 0x00 8.--15. 1. " INTID705 ,Interrupt ID705 Priority/Priority Byte Offset 705 " hexmask.long.byte 0x00 0.--7. 1. " INTID704 ,Interrupt ID704 Priority/Priority Byte Offset 704 " group.long 0x6C4++0x03 line.long 0x00 "GICD_IPRIORITYR177,Interrupt Priority Register 177" hexmask.long.byte 0x00 24.--31. 1. " INTID711 ,Interrupt ID711 Priority/Priority Byte Offset 711 " hexmask.long.byte 0x00 16.--23. 1. " INTID710 ,Interrupt ID710 Priority/Priority Byte Offset 710 " hexmask.long.byte 0x00 8.--15. 1. " INTID709 ,Interrupt ID709 Priority/Priority Byte Offset 709 " hexmask.long.byte 0x00 0.--7. 1. " INTID708 ,Interrupt ID708 Priority/Priority Byte Offset 708 " group.long 0x6C8++0x03 line.long 0x00 "GICD_IPRIORITYR178,Interrupt Priority Register 178" hexmask.long.byte 0x00 24.--31. 1. " INTID715 ,Interrupt ID715 Priority/Priority Byte Offset 715 " hexmask.long.byte 0x00 16.--23. 1. " INTID714 ,Interrupt ID714 Priority/Priority Byte Offset 714 " hexmask.long.byte 0x00 8.--15. 1. " INTID713 ,Interrupt ID713 Priority/Priority Byte Offset 713 " hexmask.long.byte 0x00 0.--7. 1. " INTID712 ,Interrupt ID712 Priority/Priority Byte Offset 712 " group.long 0x6CC++0x03 line.long 0x00 "GICD_IPRIORITYR179,Interrupt Priority Register 179" hexmask.long.byte 0x00 24.--31. 1. " INTID719 ,Interrupt ID719 Priority/Priority Byte Offset 719 " hexmask.long.byte 0x00 16.--23. 1. " INTID718 ,Interrupt ID718 Priority/Priority Byte Offset 718 " hexmask.long.byte 0x00 8.--15. 1. " INTID717 ,Interrupt ID717 Priority/Priority Byte Offset 717 " hexmask.long.byte 0x00 0.--7. 1. " INTID716 ,Interrupt ID716 Priority/Priority Byte Offset 716 " group.long 0x6D0++0x03 line.long 0x00 "GICD_IPRIORITYR180,Interrupt Priority Register 180" hexmask.long.byte 0x00 24.--31. 1. " INTID723 ,Interrupt ID723 Priority/Priority Byte Offset 723 " hexmask.long.byte 0x00 16.--23. 1. " INTID722 ,Interrupt ID722 Priority/Priority Byte Offset 722 " hexmask.long.byte 0x00 8.--15. 1. " INTID721 ,Interrupt ID721 Priority/Priority Byte Offset 721 " hexmask.long.byte 0x00 0.--7. 1. " INTID720 ,Interrupt ID720 Priority/Priority Byte Offset 720 " group.long 0x6D4++0x03 line.long 0x00 "GICD_IPRIORITYR181,Interrupt Priority Register 181" hexmask.long.byte 0x00 24.--31. 1. " INTID727 ,Interrupt ID727 Priority/Priority Byte Offset 727 " hexmask.long.byte 0x00 16.--23. 1. " INTID726 ,Interrupt ID726 Priority/Priority Byte Offset 726 " hexmask.long.byte 0x00 8.--15. 1. " INTID725 ,Interrupt ID725 Priority/Priority Byte Offset 725 " hexmask.long.byte 0x00 0.--7. 1. " INTID724 ,Interrupt ID724 Priority/Priority Byte Offset 724 " group.long 0x6D8++0x03 line.long 0x00 "GICD_IPRIORITYR182,Interrupt Priority Register 182" hexmask.long.byte 0x00 24.--31. 1. " INTID731 ,Interrupt ID731 Priority/Priority Byte Offset 731 " hexmask.long.byte 0x00 16.--23. 1. " INTID730 ,Interrupt ID730 Priority/Priority Byte Offset 730 " hexmask.long.byte 0x00 8.--15. 1. " INTID729 ,Interrupt ID729 Priority/Priority Byte Offset 729 " hexmask.long.byte 0x00 0.--7. 1. " INTID728 ,Interrupt ID728 Priority/Priority Byte Offset 728 " group.long 0x6DC++0x03 line.long 0x00 "GICD_IPRIORITYR183,Interrupt Priority Register 183" hexmask.long.byte 0x00 24.--31. 1. " INTID735 ,Interrupt ID735 Priority/Priority Byte Offset 735 " hexmask.long.byte 0x00 16.--23. 1. " INTID734 ,Interrupt ID734 Priority/Priority Byte Offset 734 " hexmask.long.byte 0x00 8.--15. 1. " INTID733 ,Interrupt ID733 Priority/Priority Byte Offset 733 " hexmask.long.byte 0x00 0.--7. 1. " INTID732 ,Interrupt ID732 Priority/Priority Byte Offset 732 " else rgroup.long 0x6C0++0x03 line.long 0x00 "GICD_IPRIORITYR176,Interrupt Priority Register 176" rgroup.long 0x6C4++0x03 line.long 0x00 "GICD_IPRIORITYR177,Interrupt Priority Register 177" rgroup.long 0x6C8++0x03 line.long 0x00 "GICD_IPRIORITYR178,Interrupt Priority Register 178" rgroup.long 0x6CC++0x03 line.long 0x00 "GICD_IPRIORITYR179,Interrupt Priority Register 179" rgroup.long 0x6D0++0x03 line.long 0x00 "GICD_IPRIORITYR180,Interrupt Priority Register 180" rgroup.long 0x6D4++0x03 line.long 0x00 "GICD_IPRIORITYR181,Interrupt Priority Register 181" rgroup.long 0x6D8++0x03 line.long 0x00 "GICD_IPRIORITYR182,Interrupt Priority Register 182" rgroup.long 0x6DC++0x03 line.long 0x00 "GICD_IPRIORITYR183,Interrupt Priority Register 183" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0x6E0++0x03 line.long 0x00 "GICD_IPRIORITYR184,Interrupt Priority Register 184" hexmask.long.byte 0x00 24.--31. 1. " INTID739 ,Interrupt ID739 Priority/Priority Byte Offset 739 " hexmask.long.byte 0x00 16.--23. 1. " INTID738 ,Interrupt ID738 Priority/Priority Byte Offset 738 " hexmask.long.byte 0x00 8.--15. 1. " INTID737 ,Interrupt ID737 Priority/Priority Byte Offset 737 " hexmask.long.byte 0x00 0.--7. 1. " INTID736 ,Interrupt ID736 Priority/Priority Byte Offset 736 " group.long 0x6E4++0x03 line.long 0x00 "GICD_IPRIORITYR185,Interrupt Priority Register 185" hexmask.long.byte 0x00 24.--31. 1. " INTID743 ,Interrupt ID743 Priority/Priority Byte Offset 743 " hexmask.long.byte 0x00 16.--23. 1. " INTID742 ,Interrupt ID742 Priority/Priority Byte Offset 742 " hexmask.long.byte 0x00 8.--15. 1. " INTID741 ,Interrupt ID741 Priority/Priority Byte Offset 741 " hexmask.long.byte 0x00 0.--7. 1. " INTID740 ,Interrupt ID740 Priority/Priority Byte Offset 740 " group.long 0x6E8++0x03 line.long 0x00 "GICD_IPRIORITYR186,Interrupt Priority Register 186" hexmask.long.byte 0x00 24.--31. 1. " INTID747 ,Interrupt ID747 Priority/Priority Byte Offset 747 " hexmask.long.byte 0x00 16.--23. 1. " INTID746 ,Interrupt ID746 Priority/Priority Byte Offset 746 " hexmask.long.byte 0x00 8.--15. 1. " INTID745 ,Interrupt ID745 Priority/Priority Byte Offset 745 " hexmask.long.byte 0x00 0.--7. 1. " INTID744 ,Interrupt ID744 Priority/Priority Byte Offset 744 " group.long 0x6EC++0x03 line.long 0x00 "GICD_IPRIORITYR187,Interrupt Priority Register 187" hexmask.long.byte 0x00 24.--31. 1. " INTID751 ,Interrupt ID751 Priority/Priority Byte Offset 751 " hexmask.long.byte 0x00 16.--23. 1. " INTID750 ,Interrupt ID750 Priority/Priority Byte Offset 750 " hexmask.long.byte 0x00 8.--15. 1. " INTID749 ,Interrupt ID749 Priority/Priority Byte Offset 749 " hexmask.long.byte 0x00 0.--7. 1. " INTID748 ,Interrupt ID748 Priority/Priority Byte Offset 748 " group.long 0x6F0++0x03 line.long 0x00 "GICD_IPRIORITYR188,Interrupt Priority Register 188" hexmask.long.byte 0x00 24.--31. 1. " INTID755 ,Interrupt ID755 Priority/Priority Byte Offset 755 " hexmask.long.byte 0x00 16.--23. 1. " INTID754 ,Interrupt ID754 Priority/Priority Byte Offset 754 " hexmask.long.byte 0x00 8.--15. 1. " INTID753 ,Interrupt ID753 Priority/Priority Byte Offset 753 " hexmask.long.byte 0x00 0.--7. 1. " INTID752 ,Interrupt ID752 Priority/Priority Byte Offset 752 " group.long 0x6F4++0x03 line.long 0x00 "GICD_IPRIORITYR189,Interrupt Priority Register 189" hexmask.long.byte 0x00 24.--31. 1. " INTID759 ,Interrupt ID759 Priority/Priority Byte Offset 759 " hexmask.long.byte 0x00 16.--23. 1. " INTID758 ,Interrupt ID758 Priority/Priority Byte Offset 758 " hexmask.long.byte 0x00 8.--15. 1. " INTID757 ,Interrupt ID757 Priority/Priority Byte Offset 757 " hexmask.long.byte 0x00 0.--7. 1. " INTID756 ,Interrupt ID756 Priority/Priority Byte Offset 756 " group.long 0x6F8++0x03 line.long 0x00 "GICD_IPRIORITYR190,Interrupt Priority Register 190" hexmask.long.byte 0x00 24.--31. 1. " INTID763 ,Interrupt ID763 Priority/Priority Byte Offset 763 " hexmask.long.byte 0x00 16.--23. 1. " INTID762 ,Interrupt ID762 Priority/Priority Byte Offset 762 " hexmask.long.byte 0x00 8.--15. 1. " INTID761 ,Interrupt ID761 Priority/Priority Byte Offset 761 " hexmask.long.byte 0x00 0.--7. 1. " INTID760 ,Interrupt ID760 Priority/Priority Byte Offset 760 " group.long 0x6FC++0x03 line.long 0x00 "GICD_IPRIORITYR191,Interrupt Priority Register 191" hexmask.long.byte 0x00 24.--31. 1. " INTID767 ,Interrupt ID767 Priority/Priority Byte Offset 767 " hexmask.long.byte 0x00 16.--23. 1. " INTID766 ,Interrupt ID766 Priority/Priority Byte Offset 766 " hexmask.long.byte 0x00 8.--15. 1. " INTID765 ,Interrupt ID765 Priority/Priority Byte Offset 765 " hexmask.long.byte 0x00 0.--7. 1. " INTID764 ,Interrupt ID764 Priority/Priority Byte Offset 764 " else rgroup.long 0x6E0++0x03 line.long 0x00 "GICD_IPRIORITYR184,Interrupt Priority Register 184" rgroup.long 0x6E4++0x03 line.long 0x00 "GICD_IPRIORITYR185,Interrupt Priority Register 185" rgroup.long 0x6E8++0x03 line.long 0x00 "GICD_IPRIORITYR186,Interrupt Priority Register 186" rgroup.long 0x6EC++0x03 line.long 0x00 "GICD_IPRIORITYR187,Interrupt Priority Register 187" rgroup.long 0x6F0++0x03 line.long 0x00 "GICD_IPRIORITYR188,Interrupt Priority Register 188" rgroup.long 0x6F4++0x03 line.long 0x00 "GICD_IPRIORITYR189,Interrupt Priority Register 189" rgroup.long 0x6F8++0x03 line.long 0x00 "GICD_IPRIORITYR190,Interrupt Priority Register 190" rgroup.long 0x6FC++0x03 line.long 0x00 "GICD_IPRIORITYR191,Interrupt Priority Register 191" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0x700++0x03 line.long 0x00 "GICD_IPRIORITYR192,Interrupt Priority Register 192" hexmask.long.byte 0x00 24.--31. 1. " INTID771 ,Interrupt ID771 Priority/Priority Byte Offset 771 " hexmask.long.byte 0x00 16.--23. 1. " INTID770 ,Interrupt ID770 Priority/Priority Byte Offset 770 " hexmask.long.byte 0x00 8.--15. 1. " INTID769 ,Interrupt ID769 Priority/Priority Byte Offset 769 " hexmask.long.byte 0x00 0.--7. 1. " INTID768 ,Interrupt ID768 Priority/Priority Byte Offset 768 " group.long 0x704++0x03 line.long 0x00 "GICD_IPRIORITYR193,Interrupt Priority Register 193" hexmask.long.byte 0x00 24.--31. 1. " INTID775 ,Interrupt ID775 Priority/Priority Byte Offset 775 " hexmask.long.byte 0x00 16.--23. 1. " INTID774 ,Interrupt ID774 Priority/Priority Byte Offset 774 " hexmask.long.byte 0x00 8.--15. 1. " INTID773 ,Interrupt ID773 Priority/Priority Byte Offset 773 " hexmask.long.byte 0x00 0.--7. 1. " INTID772 ,Interrupt ID772 Priority/Priority Byte Offset 772 " group.long 0x708++0x03 line.long 0x00 "GICD_IPRIORITYR194,Interrupt Priority Register 194" hexmask.long.byte 0x00 24.--31. 1. " INTID779 ,Interrupt ID779 Priority/Priority Byte Offset 779 " hexmask.long.byte 0x00 16.--23. 1. " INTID778 ,Interrupt ID778 Priority/Priority Byte Offset 778 " hexmask.long.byte 0x00 8.--15. 1. " INTID777 ,Interrupt ID777 Priority/Priority Byte Offset 777 " hexmask.long.byte 0x00 0.--7. 1. " INTID776 ,Interrupt ID776 Priority/Priority Byte Offset 776 " group.long 0x70C++0x03 line.long 0x00 "GICD_IPRIORITYR195,Interrupt Priority Register 195" hexmask.long.byte 0x00 24.--31. 1. " INTID783 ,Interrupt ID783 Priority/Priority Byte Offset 783 " hexmask.long.byte 0x00 16.--23. 1. " INTID782 ,Interrupt ID782 Priority/Priority Byte Offset 782 " hexmask.long.byte 0x00 8.--15. 1. " INTID781 ,Interrupt ID781 Priority/Priority Byte Offset 781 " hexmask.long.byte 0x00 0.--7. 1. " INTID780 ,Interrupt ID780 Priority/Priority Byte Offset 780 " group.long 0x710++0x03 line.long 0x00 "GICD_IPRIORITYR196,Interrupt Priority Register 196" hexmask.long.byte 0x00 24.--31. 1. " INTID787 ,Interrupt ID787 Priority/Priority Byte Offset 787 " hexmask.long.byte 0x00 16.--23. 1. " INTID786 ,Interrupt ID786 Priority/Priority Byte Offset 786 " hexmask.long.byte 0x00 8.--15. 1. " INTID785 ,Interrupt ID785 Priority/Priority Byte Offset 785 " hexmask.long.byte 0x00 0.--7. 1. " INTID784 ,Interrupt ID784 Priority/Priority Byte Offset 784 " group.long 0x714++0x03 line.long 0x00 "GICD_IPRIORITYR197,Interrupt Priority Register 197" hexmask.long.byte 0x00 24.--31. 1. " INTID791 ,Interrupt ID791 Priority/Priority Byte Offset 791 " hexmask.long.byte 0x00 16.--23. 1. " INTID790 ,Interrupt ID790 Priority/Priority Byte Offset 790 " hexmask.long.byte 0x00 8.--15. 1. " INTID789 ,Interrupt ID789 Priority/Priority Byte Offset 789 " hexmask.long.byte 0x00 0.--7. 1. " INTID788 ,Interrupt ID788 Priority/Priority Byte Offset 788 " group.long 0x718++0x03 line.long 0x00 "GICD_IPRIORITYR198,Interrupt Priority Register 198" hexmask.long.byte 0x00 24.--31. 1. " INTID795 ,Interrupt ID795 Priority/Priority Byte Offset 795 " hexmask.long.byte 0x00 16.--23. 1. " INTID794 ,Interrupt ID794 Priority/Priority Byte Offset 794 " hexmask.long.byte 0x00 8.--15. 1. " INTID793 ,Interrupt ID793 Priority/Priority Byte Offset 793 " hexmask.long.byte 0x00 0.--7. 1. " INTID792 ,Interrupt ID792 Priority/Priority Byte Offset 792 " group.long 0x71C++0x03 line.long 0x00 "GICD_IPRIORITYR199,Interrupt Priority Register 199" hexmask.long.byte 0x00 24.--31. 1. " INTID799 ,Interrupt ID799 Priority/Priority Byte Offset 799 " hexmask.long.byte 0x00 16.--23. 1. " INTID798 ,Interrupt ID798 Priority/Priority Byte Offset 798 " hexmask.long.byte 0x00 8.--15. 1. " INTID797 ,Interrupt ID797 Priority/Priority Byte Offset 797 " hexmask.long.byte 0x00 0.--7. 1. " INTID796 ,Interrupt ID796 Priority/Priority Byte Offset 796 " else rgroup.long 0x700++0x03 line.long 0x00 "GICD_IPRIORITYR192,Interrupt Priority Register 192" rgroup.long 0x704++0x03 line.long 0x00 "GICD_IPRIORITYR193,Interrupt Priority Register 193" rgroup.long 0x708++0x03 line.long 0x00 "GICD_IPRIORITYR194,Interrupt Priority Register 194" rgroup.long 0x70C++0x03 line.long 0x00 "GICD_IPRIORITYR195,Interrupt Priority Register 195" rgroup.long 0x710++0x03 line.long 0x00 "GICD_IPRIORITYR196,Interrupt Priority Register 196" rgroup.long 0x714++0x03 line.long 0x00 "GICD_IPRIORITYR197,Interrupt Priority Register 197" rgroup.long 0x718++0x03 line.long 0x00 "GICD_IPRIORITYR198,Interrupt Priority Register 198" rgroup.long 0x71C++0x03 line.long 0x00 "GICD_IPRIORITYR199,Interrupt Priority Register 199" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0x720++0x03 line.long 0x00 "GICD_IPRIORITYR200,Interrupt Priority Register 200" hexmask.long.byte 0x00 24.--31. 1. " INTID803 ,Interrupt ID803 Priority/Priority Byte Offset 803 " hexmask.long.byte 0x00 16.--23. 1. " INTID802 ,Interrupt ID802 Priority/Priority Byte Offset 802 " hexmask.long.byte 0x00 8.--15. 1. " INTID801 ,Interrupt ID801 Priority/Priority Byte Offset 801 " hexmask.long.byte 0x00 0.--7. 1. " INTID800 ,Interrupt ID800 Priority/Priority Byte Offset 800 " group.long 0x724++0x03 line.long 0x00 "GICD_IPRIORITYR201,Interrupt Priority Register 201" hexmask.long.byte 0x00 24.--31. 1. " INTID807 ,Interrupt ID807 Priority/Priority Byte Offset 807 " hexmask.long.byte 0x00 16.--23. 1. " INTID806 ,Interrupt ID806 Priority/Priority Byte Offset 806 " hexmask.long.byte 0x00 8.--15. 1. " INTID805 ,Interrupt ID805 Priority/Priority Byte Offset 805 " hexmask.long.byte 0x00 0.--7. 1. " INTID804 ,Interrupt ID804 Priority/Priority Byte Offset 804 " group.long 0x728++0x03 line.long 0x00 "GICD_IPRIORITYR202,Interrupt Priority Register 202" hexmask.long.byte 0x00 24.--31. 1. " INTID811 ,Interrupt ID811 Priority/Priority Byte Offset 811 " hexmask.long.byte 0x00 16.--23. 1. " INTID810 ,Interrupt ID810 Priority/Priority Byte Offset 810 " hexmask.long.byte 0x00 8.--15. 1. " INTID809 ,Interrupt ID809 Priority/Priority Byte Offset 809 " hexmask.long.byte 0x00 0.--7. 1. " INTID808 ,Interrupt ID808 Priority/Priority Byte Offset 808 " group.long 0x72C++0x03 line.long 0x00 "GICD_IPRIORITYR203,Interrupt Priority Register 203" hexmask.long.byte 0x00 24.--31. 1. " INTID815 ,Interrupt ID815 Priority/Priority Byte Offset 815 " hexmask.long.byte 0x00 16.--23. 1. " INTID814 ,Interrupt ID814 Priority/Priority Byte Offset 814 " hexmask.long.byte 0x00 8.--15. 1. " INTID813 ,Interrupt ID813 Priority/Priority Byte Offset 813 " hexmask.long.byte 0x00 0.--7. 1. " INTID812 ,Interrupt ID812 Priority/Priority Byte Offset 812 " group.long 0x730++0x03 line.long 0x00 "GICD_IPRIORITYR204,Interrupt Priority Register 204" hexmask.long.byte 0x00 24.--31. 1. " INTID819 ,Interrupt ID819 Priority/Priority Byte Offset 819 " hexmask.long.byte 0x00 16.--23. 1. " INTID818 ,Interrupt ID818 Priority/Priority Byte Offset 818 " hexmask.long.byte 0x00 8.--15. 1. " INTID817 ,Interrupt ID817 Priority/Priority Byte Offset 817 " hexmask.long.byte 0x00 0.--7. 1. " INTID816 ,Interrupt ID816 Priority/Priority Byte Offset 816 " group.long 0x734++0x03 line.long 0x00 "GICD_IPRIORITYR205,Interrupt Priority Register 205" hexmask.long.byte 0x00 24.--31. 1. " INTID823 ,Interrupt ID823 Priority/Priority Byte Offset 823 " hexmask.long.byte 0x00 16.--23. 1. " INTID822 ,Interrupt ID822 Priority/Priority Byte Offset 822 " hexmask.long.byte 0x00 8.--15. 1. " INTID821 ,Interrupt ID821 Priority/Priority Byte Offset 821 " hexmask.long.byte 0x00 0.--7. 1. " INTID820 ,Interrupt ID820 Priority/Priority Byte Offset 820 " group.long 0x738++0x03 line.long 0x00 "GICD_IPRIORITYR206,Interrupt Priority Register 206" hexmask.long.byte 0x00 24.--31. 1. " INTID827 ,Interrupt ID827 Priority/Priority Byte Offset 827 " hexmask.long.byte 0x00 16.--23. 1. " INTID826 ,Interrupt ID826 Priority/Priority Byte Offset 826 " hexmask.long.byte 0x00 8.--15. 1. " INTID825 ,Interrupt ID825 Priority/Priority Byte Offset 825 " hexmask.long.byte 0x00 0.--7. 1. " INTID824 ,Interrupt ID824 Priority/Priority Byte Offset 824 " group.long 0x73C++0x03 line.long 0x00 "GICD_IPRIORITYR207,Interrupt Priority Register 207" hexmask.long.byte 0x00 24.--31. 1. " INTID831 ,Interrupt ID831 Priority/Priority Byte Offset 831 " hexmask.long.byte 0x00 16.--23. 1. " INTID830 ,Interrupt ID830 Priority/Priority Byte Offset 830 " hexmask.long.byte 0x00 8.--15. 1. " INTID829 ,Interrupt ID829 Priority/Priority Byte Offset 829 " hexmask.long.byte 0x00 0.--7. 1. " INTID828 ,Interrupt ID828 Priority/Priority Byte Offset 828 " else rgroup.long 0x720++0x03 line.long 0x00 "GICD_IPRIORITYR200,Interrupt Priority Register 200" rgroup.long 0x724++0x03 line.long 0x00 "GICD_IPRIORITYR201,Interrupt Priority Register 201" rgroup.long 0x728++0x03 line.long 0x00 "GICD_IPRIORITYR202,Interrupt Priority Register 202" rgroup.long 0x72C++0x03 line.long 0x00 "GICD_IPRIORITYR203,Interrupt Priority Register 203" rgroup.long 0x730++0x03 line.long 0x00 "GICD_IPRIORITYR204,Interrupt Priority Register 204" rgroup.long 0x734++0x03 line.long 0x00 "GICD_IPRIORITYR205,Interrupt Priority Register 205" rgroup.long 0x738++0x03 line.long 0x00 "GICD_IPRIORITYR206,Interrupt Priority Register 206" rgroup.long 0x73C++0x03 line.long 0x00 "GICD_IPRIORITYR207,Interrupt Priority Register 207" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0x740++0x03 line.long 0x00 "GICD_IPRIORITYR208,Interrupt Priority Register 208" hexmask.long.byte 0x00 24.--31. 1. " INTID835 ,Interrupt ID835 Priority/Priority Byte Offset 835 " hexmask.long.byte 0x00 16.--23. 1. " INTID834 ,Interrupt ID834 Priority/Priority Byte Offset 834 " hexmask.long.byte 0x00 8.--15. 1. " INTID833 ,Interrupt ID833 Priority/Priority Byte Offset 833 " hexmask.long.byte 0x00 0.--7. 1. " INTID832 ,Interrupt ID832 Priority/Priority Byte Offset 832 " group.long 0x744++0x03 line.long 0x00 "GICD_IPRIORITYR209,Interrupt Priority Register 209" hexmask.long.byte 0x00 24.--31. 1. " INTID839 ,Interrupt ID839 Priority/Priority Byte Offset 839 " hexmask.long.byte 0x00 16.--23. 1. " INTID838 ,Interrupt ID838 Priority/Priority Byte Offset 838 " hexmask.long.byte 0x00 8.--15. 1. " INTID837 ,Interrupt ID837 Priority/Priority Byte Offset 837 " hexmask.long.byte 0x00 0.--7. 1. " INTID836 ,Interrupt ID836 Priority/Priority Byte Offset 836 " group.long 0x748++0x03 line.long 0x00 "GICD_IPRIORITYR210,Interrupt Priority Register 210" hexmask.long.byte 0x00 24.--31. 1. " INTID843 ,Interrupt ID843 Priority/Priority Byte Offset 843 " hexmask.long.byte 0x00 16.--23. 1. " INTID842 ,Interrupt ID842 Priority/Priority Byte Offset 842 " hexmask.long.byte 0x00 8.--15. 1. " INTID841 ,Interrupt ID841 Priority/Priority Byte Offset 841 " hexmask.long.byte 0x00 0.--7. 1. " INTID840 ,Interrupt ID840 Priority/Priority Byte Offset 840 " group.long 0x74C++0x03 line.long 0x00 "GICD_IPRIORITYR211,Interrupt Priority Register 211" hexmask.long.byte 0x00 24.--31. 1. " INTID847 ,Interrupt ID847 Priority/Priority Byte Offset 847 " hexmask.long.byte 0x00 16.--23. 1. " INTID846 ,Interrupt ID846 Priority/Priority Byte Offset 846 " hexmask.long.byte 0x00 8.--15. 1. " INTID845 ,Interrupt ID845 Priority/Priority Byte Offset 845 " hexmask.long.byte 0x00 0.--7. 1. " INTID844 ,Interrupt ID844 Priority/Priority Byte Offset 844 " group.long 0x750++0x03 line.long 0x00 "GICD_IPRIORITYR212,Interrupt Priority Register 212" hexmask.long.byte 0x00 24.--31. 1. " INTID851 ,Interrupt ID851 Priority/Priority Byte Offset 851 " hexmask.long.byte 0x00 16.--23. 1. " INTID850 ,Interrupt ID850 Priority/Priority Byte Offset 850 " hexmask.long.byte 0x00 8.--15. 1. " INTID849 ,Interrupt ID849 Priority/Priority Byte Offset 849 " hexmask.long.byte 0x00 0.--7. 1. " INTID848 ,Interrupt ID848 Priority/Priority Byte Offset 848 " group.long 0x754++0x03 line.long 0x00 "GICD_IPRIORITYR213,Interrupt Priority Register 213" hexmask.long.byte 0x00 24.--31. 1. " INTID855 ,Interrupt ID855 Priority/Priority Byte Offset 855 " hexmask.long.byte 0x00 16.--23. 1. " INTID854 ,Interrupt ID854 Priority/Priority Byte Offset 854 " hexmask.long.byte 0x00 8.--15. 1. " INTID853 ,Interrupt ID853 Priority/Priority Byte Offset 853 " hexmask.long.byte 0x00 0.--7. 1. " INTID852 ,Interrupt ID852 Priority/Priority Byte Offset 852 " group.long 0x758++0x03 line.long 0x00 "GICD_IPRIORITYR214,Interrupt Priority Register 214" hexmask.long.byte 0x00 24.--31. 1. " INTID859 ,Interrupt ID859 Priority/Priority Byte Offset 859 " hexmask.long.byte 0x00 16.--23. 1. " INTID858 ,Interrupt ID858 Priority/Priority Byte Offset 858 " hexmask.long.byte 0x00 8.--15. 1. " INTID857 ,Interrupt ID857 Priority/Priority Byte Offset 857 " hexmask.long.byte 0x00 0.--7. 1. " INTID856 ,Interrupt ID856 Priority/Priority Byte Offset 856 " group.long 0x75C++0x03 line.long 0x00 "GICD_IPRIORITYR215,Interrupt Priority Register 215" hexmask.long.byte 0x00 24.--31. 1. " INTID863 ,Interrupt ID863 Priority/Priority Byte Offset 863 " hexmask.long.byte 0x00 16.--23. 1. " INTID862 ,Interrupt ID862 Priority/Priority Byte Offset 862 " hexmask.long.byte 0x00 8.--15. 1. " INTID861 ,Interrupt ID861 Priority/Priority Byte Offset 861 " hexmask.long.byte 0x00 0.--7. 1. " INTID860 ,Interrupt ID860 Priority/Priority Byte Offset 860 " else rgroup.long 0x740++0x03 line.long 0x00 "GICD_IPRIORITYR208,Interrupt Priority Register 208" rgroup.long 0x744++0x03 line.long 0x00 "GICD_IPRIORITYR209,Interrupt Priority Register 209" rgroup.long 0x748++0x03 line.long 0x00 "GICD_IPRIORITYR210,Interrupt Priority Register 210" rgroup.long 0x74C++0x03 line.long 0x00 "GICD_IPRIORITYR211,Interrupt Priority Register 211" rgroup.long 0x750++0x03 line.long 0x00 "GICD_IPRIORITYR212,Interrupt Priority Register 212" rgroup.long 0x754++0x03 line.long 0x00 "GICD_IPRIORITYR213,Interrupt Priority Register 213" rgroup.long 0x758++0x03 line.long 0x00 "GICD_IPRIORITYR214,Interrupt Priority Register 214" rgroup.long 0x75C++0x03 line.long 0x00 "GICD_IPRIORITYR215,Interrupt Priority Register 215" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0x760++0x03 line.long 0x00 "GICD_IPRIORITYR216,Interrupt Priority Register 216" hexmask.long.byte 0x00 24.--31. 1. " INTID867 ,Interrupt ID867 Priority/Priority Byte Offset 867 " hexmask.long.byte 0x00 16.--23. 1. " INTID866 ,Interrupt ID866 Priority/Priority Byte Offset 866 " hexmask.long.byte 0x00 8.--15. 1. " INTID865 ,Interrupt ID865 Priority/Priority Byte Offset 865 " hexmask.long.byte 0x00 0.--7. 1. " INTID864 ,Interrupt ID864 Priority/Priority Byte Offset 864 " group.long 0x764++0x03 line.long 0x00 "GICD_IPRIORITYR217,Interrupt Priority Register 217" hexmask.long.byte 0x00 24.--31. 1. " INTID871 ,Interrupt ID871 Priority/Priority Byte Offset 871 " hexmask.long.byte 0x00 16.--23. 1. " INTID870 ,Interrupt ID870 Priority/Priority Byte Offset 870 " hexmask.long.byte 0x00 8.--15. 1. " INTID869 ,Interrupt ID869 Priority/Priority Byte Offset 869 " hexmask.long.byte 0x00 0.--7. 1. " INTID868 ,Interrupt ID868 Priority/Priority Byte Offset 868 " group.long 0x768++0x03 line.long 0x00 "GICD_IPRIORITYR218,Interrupt Priority Register 218" hexmask.long.byte 0x00 24.--31. 1. " INTID875 ,Interrupt ID875 Priority/Priority Byte Offset 875 " hexmask.long.byte 0x00 16.--23. 1. " INTID874 ,Interrupt ID874 Priority/Priority Byte Offset 874 " hexmask.long.byte 0x00 8.--15. 1. " INTID873 ,Interrupt ID873 Priority/Priority Byte Offset 873 " hexmask.long.byte 0x00 0.--7. 1. " INTID872 ,Interrupt ID872 Priority/Priority Byte Offset 872 " group.long 0x76C++0x03 line.long 0x00 "GICD_IPRIORITYR219,Interrupt Priority Register 219" hexmask.long.byte 0x00 24.--31. 1. " INTID879 ,Interrupt ID879 Priority/Priority Byte Offset 879 " hexmask.long.byte 0x00 16.--23. 1. " INTID878 ,Interrupt ID878 Priority/Priority Byte Offset 878 " hexmask.long.byte 0x00 8.--15. 1. " INTID877 ,Interrupt ID877 Priority/Priority Byte Offset 877 " hexmask.long.byte 0x00 0.--7. 1. " INTID876 ,Interrupt ID876 Priority/Priority Byte Offset 876 " group.long 0x770++0x03 line.long 0x00 "GICD_IPRIORITYR220,Interrupt Priority Register 220" hexmask.long.byte 0x00 24.--31. 1. " INTID883 ,Interrupt ID883 Priority/Priority Byte Offset 883 " hexmask.long.byte 0x00 16.--23. 1. " INTID882 ,Interrupt ID882 Priority/Priority Byte Offset 882 " hexmask.long.byte 0x00 8.--15. 1. " INTID881 ,Interrupt ID881 Priority/Priority Byte Offset 881 " hexmask.long.byte 0x00 0.--7. 1. " INTID880 ,Interrupt ID880 Priority/Priority Byte Offset 880 " group.long 0x774++0x03 line.long 0x00 "GICD_IPRIORITYR221,Interrupt Priority Register 221" hexmask.long.byte 0x00 24.--31. 1. " INTID887 ,Interrupt ID887 Priority/Priority Byte Offset 887 " hexmask.long.byte 0x00 16.--23. 1. " INTID886 ,Interrupt ID886 Priority/Priority Byte Offset 886 " hexmask.long.byte 0x00 8.--15. 1. " INTID885 ,Interrupt ID885 Priority/Priority Byte Offset 885 " hexmask.long.byte 0x00 0.--7. 1. " INTID884 ,Interrupt ID884 Priority/Priority Byte Offset 884 " group.long 0x778++0x03 line.long 0x00 "GICD_IPRIORITYR222,Interrupt Priority Register 222" hexmask.long.byte 0x00 24.--31. 1. " INTID891 ,Interrupt ID891 Priority/Priority Byte Offset 891 " hexmask.long.byte 0x00 16.--23. 1. " INTID890 ,Interrupt ID890 Priority/Priority Byte Offset 890 " hexmask.long.byte 0x00 8.--15. 1. " INTID889 ,Interrupt ID889 Priority/Priority Byte Offset 889 " hexmask.long.byte 0x00 0.--7. 1. " INTID888 ,Interrupt ID888 Priority/Priority Byte Offset 888 " group.long 0x77C++0x03 line.long 0x00 "GICD_IPRIORITYR223,Interrupt Priority Register 223" hexmask.long.byte 0x00 24.--31. 1. " INTID895 ,Interrupt ID895 Priority/Priority Byte Offset 895 " hexmask.long.byte 0x00 16.--23. 1. " INTID894 ,Interrupt ID894 Priority/Priority Byte Offset 894 " hexmask.long.byte 0x00 8.--15. 1. " INTID893 ,Interrupt ID893 Priority/Priority Byte Offset 893 " hexmask.long.byte 0x00 0.--7. 1. " INTID892 ,Interrupt ID892 Priority/Priority Byte Offset 892 " else rgroup.long 0x760++0x03 line.long 0x00 "GICD_IPRIORITYR216,Interrupt Priority Register 216" rgroup.long 0x764++0x03 line.long 0x00 "GICD_IPRIORITYR217,Interrupt Priority Register 217" rgroup.long 0x768++0x03 line.long 0x00 "GICD_IPRIORITYR218,Interrupt Priority Register 218" rgroup.long 0x76C++0x03 line.long 0x00 "GICD_IPRIORITYR219,Interrupt Priority Register 219" rgroup.long 0x770++0x03 line.long 0x00 "GICD_IPRIORITYR220,Interrupt Priority Register 220" rgroup.long 0x774++0x03 line.long 0x00 "GICD_IPRIORITYR221,Interrupt Priority Register 221" rgroup.long 0x778++0x03 line.long 0x00 "GICD_IPRIORITYR222,Interrupt Priority Register 222" rgroup.long 0x77C++0x03 line.long 0x00 "GICD_IPRIORITYR223,Interrupt Priority Register 223" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0x780++0x03 line.long 0x00 "GICD_IPRIORITYR224,Interrupt Priority Register 224" hexmask.long.byte 0x00 24.--31. 1. " INTID899 ,Interrupt ID899 Priority/Priority Byte Offset 899 " hexmask.long.byte 0x00 16.--23. 1. " INTID898 ,Interrupt ID898 Priority/Priority Byte Offset 898 " hexmask.long.byte 0x00 8.--15. 1. " INTID897 ,Interrupt ID897 Priority/Priority Byte Offset 897 " hexmask.long.byte 0x00 0.--7. 1. " INTID896 ,Interrupt ID896 Priority/Priority Byte Offset 896 " group.long 0x784++0x03 line.long 0x00 "GICD_IPRIORITYR225,Interrupt Priority Register 225" hexmask.long.byte 0x00 24.--31. 1. " INTID903 ,Interrupt ID903 Priority/Priority Byte Offset 903 " hexmask.long.byte 0x00 16.--23. 1. " INTID902 ,Interrupt ID902 Priority/Priority Byte Offset 902 " hexmask.long.byte 0x00 8.--15. 1. " INTID901 ,Interrupt ID901 Priority/Priority Byte Offset 901 " hexmask.long.byte 0x00 0.--7. 1. " INTID900 ,Interrupt ID900 Priority/Priority Byte Offset 900 " group.long 0x788++0x03 line.long 0x00 "GICD_IPRIORITYR226,Interrupt Priority Register 226" hexmask.long.byte 0x00 24.--31. 1. " INTID907 ,Interrupt ID907 Priority/Priority Byte Offset 907 " hexmask.long.byte 0x00 16.--23. 1. " INTID906 ,Interrupt ID906 Priority/Priority Byte Offset 906 " hexmask.long.byte 0x00 8.--15. 1. " INTID905 ,Interrupt ID905 Priority/Priority Byte Offset 905 " hexmask.long.byte 0x00 0.--7. 1. " INTID904 ,Interrupt ID904 Priority/Priority Byte Offset 904 " group.long 0x78C++0x03 line.long 0x00 "GICD_IPRIORITYR227,Interrupt Priority Register 227" hexmask.long.byte 0x00 24.--31. 1. " INTID911 ,Interrupt ID911 Priority/Priority Byte Offset 911 " hexmask.long.byte 0x00 16.--23. 1. " INTID910 ,Interrupt ID910 Priority/Priority Byte Offset 910 " hexmask.long.byte 0x00 8.--15. 1. " INTID909 ,Interrupt ID909 Priority/Priority Byte Offset 909 " hexmask.long.byte 0x00 0.--7. 1. " INTID908 ,Interrupt ID908 Priority/Priority Byte Offset 908 " group.long 0x790++0x03 line.long 0x00 "GICD_IPRIORITYR228,Interrupt Priority Register 228" hexmask.long.byte 0x00 24.--31. 1. " INTID915 ,Interrupt ID915 Priority/Priority Byte Offset 915 " hexmask.long.byte 0x00 16.--23. 1. " INTID914 ,Interrupt ID914 Priority/Priority Byte Offset 914 " hexmask.long.byte 0x00 8.--15. 1. " INTID913 ,Interrupt ID913 Priority/Priority Byte Offset 913 " hexmask.long.byte 0x00 0.--7. 1. " INTID912 ,Interrupt ID912 Priority/Priority Byte Offset 912 " group.long 0x794++0x03 line.long 0x00 "GICD_IPRIORITYR229,Interrupt Priority Register 229" hexmask.long.byte 0x00 24.--31. 1. " INTID919 ,Interrupt ID919 Priority/Priority Byte Offset 919 " hexmask.long.byte 0x00 16.--23. 1. " INTID918 ,Interrupt ID918 Priority/Priority Byte Offset 918 " hexmask.long.byte 0x00 8.--15. 1. " INTID917 ,Interrupt ID917 Priority/Priority Byte Offset 917 " hexmask.long.byte 0x00 0.--7. 1. " INTID916 ,Interrupt ID916 Priority/Priority Byte Offset 916 " group.long 0x798++0x03 line.long 0x00 "GICD_IPRIORITYR230,Interrupt Priority Register 230" hexmask.long.byte 0x00 24.--31. 1. " INTID923 ,Interrupt ID923 Priority/Priority Byte Offset 923 " hexmask.long.byte 0x00 16.--23. 1. " INTID922 ,Interrupt ID922 Priority/Priority Byte Offset 922 " hexmask.long.byte 0x00 8.--15. 1. " INTID921 ,Interrupt ID921 Priority/Priority Byte Offset 921 " hexmask.long.byte 0x00 0.--7. 1. " INTID920 ,Interrupt ID920 Priority/Priority Byte Offset 920 " group.long 0x79C++0x03 line.long 0x00 "GICD_IPRIORITYR231,Interrupt Priority Register 231" hexmask.long.byte 0x00 24.--31. 1. " INTID927 ,Interrupt ID927 Priority/Priority Byte Offset 927 " hexmask.long.byte 0x00 16.--23. 1. " INTID926 ,Interrupt ID926 Priority/Priority Byte Offset 926 " hexmask.long.byte 0x00 8.--15. 1. " INTID925 ,Interrupt ID925 Priority/Priority Byte Offset 925 " hexmask.long.byte 0x00 0.--7. 1. " INTID924 ,Interrupt ID924 Priority/Priority Byte Offset 924 " else rgroup.long 0x780++0x03 line.long 0x00 "GICD_IPRIORITYR224,Interrupt Priority Register 224" rgroup.long 0x784++0x03 line.long 0x00 "GICD_IPRIORITYR225,Interrupt Priority Register 225" rgroup.long 0x788++0x03 line.long 0x00 "GICD_IPRIORITYR226,Interrupt Priority Register 226" rgroup.long 0x78C++0x03 line.long 0x00 "GICD_IPRIORITYR227,Interrupt Priority Register 227" rgroup.long 0x790++0x03 line.long 0x00 "GICD_IPRIORITYR228,Interrupt Priority Register 228" rgroup.long 0x794++0x03 line.long 0x00 "GICD_IPRIORITYR229,Interrupt Priority Register 229" rgroup.long 0x798++0x03 line.long 0x00 "GICD_IPRIORITYR230,Interrupt Priority Register 230" rgroup.long 0x79C++0x03 line.long 0x00 "GICD_IPRIORITYR231,Interrupt Priority Register 231" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0x7A0++0x03 line.long 0x00 "GICD_IPRIORITYR232,Interrupt Priority Register 232" hexmask.long.byte 0x00 24.--31. 1. " INTID931 ,Interrupt ID931 Priority/Priority Byte Offset 931 " hexmask.long.byte 0x00 16.--23. 1. " INTID930 ,Interrupt ID930 Priority/Priority Byte Offset 930 " hexmask.long.byte 0x00 8.--15. 1. " INTID929 ,Interrupt ID929 Priority/Priority Byte Offset 929 " hexmask.long.byte 0x00 0.--7. 1. " INTID928 ,Interrupt ID928 Priority/Priority Byte Offset 928 " group.long 0x7A4++0x03 line.long 0x00 "GICD_IPRIORITYR233,Interrupt Priority Register 233" hexmask.long.byte 0x00 24.--31. 1. " INTID935 ,Interrupt ID935 Priority/Priority Byte Offset 935 " hexmask.long.byte 0x00 16.--23. 1. " INTID934 ,Interrupt ID934 Priority/Priority Byte Offset 934 " hexmask.long.byte 0x00 8.--15. 1. " INTID933 ,Interrupt ID933 Priority/Priority Byte Offset 933 " hexmask.long.byte 0x00 0.--7. 1. " INTID932 ,Interrupt ID932 Priority/Priority Byte Offset 932 " group.long 0x7A8++0x03 line.long 0x00 "GICD_IPRIORITYR234,Interrupt Priority Register 234" hexmask.long.byte 0x00 24.--31. 1. " INTID939 ,Interrupt ID939 Priority/Priority Byte Offset 939 " hexmask.long.byte 0x00 16.--23. 1. " INTID938 ,Interrupt ID938 Priority/Priority Byte Offset 938 " hexmask.long.byte 0x00 8.--15. 1. " INTID937 ,Interrupt ID937 Priority/Priority Byte Offset 937 " hexmask.long.byte 0x00 0.--7. 1. " INTID936 ,Interrupt ID936 Priority/Priority Byte Offset 936 " group.long 0x7AC++0x03 line.long 0x00 "GICD_IPRIORITYR235,Interrupt Priority Register 235" hexmask.long.byte 0x00 24.--31. 1. " INTID943 ,Interrupt ID943 Priority/Priority Byte Offset 943 " hexmask.long.byte 0x00 16.--23. 1. " INTID942 ,Interrupt ID942 Priority/Priority Byte Offset 942 " hexmask.long.byte 0x00 8.--15. 1. " INTID941 ,Interrupt ID941 Priority/Priority Byte Offset 941 " hexmask.long.byte 0x00 0.--7. 1. " INTID940 ,Interrupt ID940 Priority/Priority Byte Offset 940 " group.long 0x7B0++0x03 line.long 0x00 "GICD_IPRIORITYR236,Interrupt Priority Register 236" hexmask.long.byte 0x00 24.--31. 1. " INTID947 ,Interrupt ID947 Priority/Priority Byte Offset 947 " hexmask.long.byte 0x00 16.--23. 1. " INTID946 ,Interrupt ID946 Priority/Priority Byte Offset 946 " hexmask.long.byte 0x00 8.--15. 1. " INTID945 ,Interrupt ID945 Priority/Priority Byte Offset 945 " hexmask.long.byte 0x00 0.--7. 1. " INTID944 ,Interrupt ID944 Priority/Priority Byte Offset 944 " group.long 0x7B4++0x03 line.long 0x00 "GICD_IPRIORITYR237,Interrupt Priority Register 237" hexmask.long.byte 0x00 24.--31. 1. " INTID951 ,Interrupt ID951 Priority/Priority Byte Offset 951 " hexmask.long.byte 0x00 16.--23. 1. " INTID950 ,Interrupt ID950 Priority/Priority Byte Offset 950 " hexmask.long.byte 0x00 8.--15. 1. " INTID949 ,Interrupt ID949 Priority/Priority Byte Offset 949 " hexmask.long.byte 0x00 0.--7. 1. " INTID948 ,Interrupt ID948 Priority/Priority Byte Offset 948 " group.long 0x7B8++0x03 line.long 0x00 "GICD_IPRIORITYR238,Interrupt Priority Register 238" hexmask.long.byte 0x00 24.--31. 1. " INTID955 ,Interrupt ID955 Priority/Priority Byte Offset 955 " hexmask.long.byte 0x00 16.--23. 1. " INTID954 ,Interrupt ID954 Priority/Priority Byte Offset 954 " hexmask.long.byte 0x00 8.--15. 1. " INTID953 ,Interrupt ID953 Priority/Priority Byte Offset 953 " hexmask.long.byte 0x00 0.--7. 1. " INTID952 ,Interrupt ID952 Priority/Priority Byte Offset 952 " group.long 0x7BC++0x03 line.long 0x00 "GICD_IPRIORITYR239,Interrupt Priority Register 239" hexmask.long.byte 0x00 24.--31. 1. " INTID959 ,Interrupt ID959 Priority/Priority Byte Offset 959 " hexmask.long.byte 0x00 16.--23. 1. " INTID958 ,Interrupt ID958 Priority/Priority Byte Offset 958 " hexmask.long.byte 0x00 8.--15. 1. " INTID957 ,Interrupt ID957 Priority/Priority Byte Offset 957 " hexmask.long.byte 0x00 0.--7. 1. " INTID956 ,Interrupt ID956 Priority/Priority Byte Offset 956 " else rgroup.long 0x7A0++0x03 line.long 0x00 "GICD_IPRIORITYR232,Interrupt Priority Register 232" rgroup.long 0x7A4++0x03 line.long 0x00 "GICD_IPRIORITYR233,Interrupt Priority Register 233" rgroup.long 0x7A8++0x03 line.long 0x00 "GICD_IPRIORITYR234,Interrupt Priority Register 234" rgroup.long 0x7AC++0x03 line.long 0x00 "GICD_IPRIORITYR235,Interrupt Priority Register 235" rgroup.long 0x7B0++0x03 line.long 0x00 "GICD_IPRIORITYR236,Interrupt Priority Register 236" rgroup.long 0x7B4++0x03 line.long 0x00 "GICD_IPRIORITYR237,Interrupt Priority Register 237" rgroup.long 0x7B8++0x03 line.long 0x00 "GICD_IPRIORITYR238,Interrupt Priority Register 238" rgroup.long 0x7BC++0x03 line.long 0x00 "GICD_IPRIORITYR239,Interrupt Priority Register 239" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0x7C0++0x03 line.long 0x00 "GICD_IPRIORITYR240,Interrupt Priority Register 240" hexmask.long.byte 0x00 24.--31. 1. " INTID963 ,Interrupt ID963 Priority/Priority Byte Offset 963 " hexmask.long.byte 0x00 16.--23. 1. " INTID962 ,Interrupt ID962 Priority/Priority Byte Offset 962 " hexmask.long.byte 0x00 8.--15. 1. " INTID961 ,Interrupt ID961 Priority/Priority Byte Offset 961 " hexmask.long.byte 0x00 0.--7. 1. " INTID960 ,Interrupt ID960 Priority/Priority Byte Offset 960 " group.long 0x7C4++0x03 line.long 0x00 "GICD_IPRIORITYR241,Interrupt Priority Register 241" hexmask.long.byte 0x00 24.--31. 1. " INTID967 ,Interrupt ID967 Priority/Priority Byte Offset 967 " hexmask.long.byte 0x00 16.--23. 1. " INTID966 ,Interrupt ID966 Priority/Priority Byte Offset 966 " hexmask.long.byte 0x00 8.--15. 1. " INTID965 ,Interrupt ID965 Priority/Priority Byte Offset 965 " hexmask.long.byte 0x00 0.--7. 1. " INTID964 ,Interrupt ID964 Priority/Priority Byte Offset 964 " group.long 0x7C8++0x03 line.long 0x00 "GICD_IPRIORITYR242,Interrupt Priority Register 242" hexmask.long.byte 0x00 24.--31. 1. " INTID971 ,Interrupt ID971 Priority/Priority Byte Offset 971 " hexmask.long.byte 0x00 16.--23. 1. " INTID970 ,Interrupt ID970 Priority/Priority Byte Offset 970 " hexmask.long.byte 0x00 8.--15. 1. " INTID969 ,Interrupt ID969 Priority/Priority Byte Offset 969 " hexmask.long.byte 0x00 0.--7. 1. " INTID968 ,Interrupt ID968 Priority/Priority Byte Offset 968 " group.long 0x7CC++0x03 line.long 0x00 "GICD_IPRIORITYR243,Interrupt Priority Register 243" hexmask.long.byte 0x00 24.--31. 1. " INTID975 ,Interrupt ID975 Priority/Priority Byte Offset 975 " hexmask.long.byte 0x00 16.--23. 1. " INTID974 ,Interrupt ID974 Priority/Priority Byte Offset 974 " hexmask.long.byte 0x00 8.--15. 1. " INTID973 ,Interrupt ID973 Priority/Priority Byte Offset 973 " hexmask.long.byte 0x00 0.--7. 1. " INTID972 ,Interrupt ID972 Priority/Priority Byte Offset 972 " group.long 0x7D0++0x03 line.long 0x00 "GICD_IPRIORITYR244,Interrupt Priority Register 244" hexmask.long.byte 0x00 24.--31. 1. " INTID979 ,Interrupt ID979 Priority/Priority Byte Offset 979 " hexmask.long.byte 0x00 16.--23. 1. " INTID978 ,Interrupt ID978 Priority/Priority Byte Offset 978 " hexmask.long.byte 0x00 8.--15. 1. " INTID977 ,Interrupt ID977 Priority/Priority Byte Offset 977 " hexmask.long.byte 0x00 0.--7. 1. " INTID976 ,Interrupt ID976 Priority/Priority Byte Offset 976 " group.long 0x7D4++0x03 line.long 0x00 "GICD_IPRIORITYR245,Interrupt Priority Register 245" hexmask.long.byte 0x00 24.--31. 1. " INTID983 ,Interrupt ID983 Priority/Priority Byte Offset 983 " hexmask.long.byte 0x00 16.--23. 1. " INTID982 ,Interrupt ID982 Priority/Priority Byte Offset 982 " hexmask.long.byte 0x00 8.--15. 1. " INTID981 ,Interrupt ID981 Priority/Priority Byte Offset 981 " hexmask.long.byte 0x00 0.--7. 1. " INTID980 ,Interrupt ID980 Priority/Priority Byte Offset 980 " group.long 0x7D8++0x03 line.long 0x00 "GICD_IPRIORITYR246,Interrupt Priority Register 246" hexmask.long.byte 0x00 24.--31. 1. " INTID987 ,Interrupt ID987 Priority/Priority Byte Offset 987 " hexmask.long.byte 0x00 16.--23. 1. " INTID986 ,Interrupt ID986 Priority/Priority Byte Offset 986 " hexmask.long.byte 0x00 8.--15. 1. " INTID985 ,Interrupt ID985 Priority/Priority Byte Offset 985 " hexmask.long.byte 0x00 0.--7. 1. " INTID984 ,Interrupt ID984 Priority/Priority Byte Offset 984 " group.long 0x7DC++0x03 line.long 0x00 "GICD_IPRIORITYR247,Interrupt Priority Register 247" hexmask.long.byte 0x00 24.--31. 1. " INTID991 ,Interrupt ID991 Priority/Priority Byte Offset 991 " hexmask.long.byte 0x00 16.--23. 1. " INTID990 ,Interrupt ID990 Priority/Priority Byte Offset 990 " hexmask.long.byte 0x00 8.--15. 1. " INTID989 ,Interrupt ID989 Priority/Priority Byte Offset 989 " hexmask.long.byte 0x00 0.--7. 1. " INTID988 ,Interrupt ID988 Priority/Priority Byte Offset 988 " else rgroup.long 0x7C0++0x03 line.long 0x00 "GICD_IPRIORITYR240,Interrupt Priority Register 240" rgroup.long 0x7C4++0x03 line.long 0x00 "GICD_IPRIORITYR241,Interrupt Priority Register 241" rgroup.long 0x7C8++0x03 line.long 0x00 "GICD_IPRIORITYR242,Interrupt Priority Register 242" rgroup.long 0x7CC++0x03 line.long 0x00 "GICD_IPRIORITYR243,Interrupt Priority Register 243" rgroup.long 0x7D0++0x03 line.long 0x00 "GICD_IPRIORITYR244,Interrupt Priority Register 244" rgroup.long 0x7D4++0x03 line.long 0x00 "GICD_IPRIORITYR245,Interrupt Priority Register 245" rgroup.long 0x7D8++0x03 line.long 0x00 "GICD_IPRIORITYR246,Interrupt Priority Register 246" rgroup.long 0x7DC++0x03 line.long 0x00 "GICD_IPRIORITYR247,Interrupt Priority Register 247" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1F) group.long 0x7E0++0x03 line.long 0x00 "GICD_IPRIORITYR248,Interrupt Priority Register 248" hexmask.long.byte 0x00 24.--31. 1. " INTID995 ,Interrupt ID995 Priority/Priority Byte Offset 995 " hexmask.long.byte 0x00 16.--23. 1. " INTID994 ,Interrupt ID994 Priority/Priority Byte Offset 994 " hexmask.long.byte 0x00 8.--15. 1. " INTID993 ,Interrupt ID993 Priority/Priority Byte Offset 993 " hexmask.long.byte 0x00 0.--7. 1. " INTID992 ,Interrupt ID992 Priority/Priority Byte Offset 992 " group.long 0x7E4++0x03 line.long 0x00 "GICD_IPRIORITYR249,Interrupt Priority Register 249" hexmask.long.byte 0x00 24.--31. 1. " INTID999 ,Interrupt ID999 Priority/Priority Byte Offset 999 " hexmask.long.byte 0x00 16.--23. 1. " INTID998 ,Interrupt ID998 Priority/Priority Byte Offset 998 " hexmask.long.byte 0x00 8.--15. 1. " INTID997 ,Interrupt ID997 Priority/Priority Byte Offset 997 " hexmask.long.byte 0x00 0.--7. 1. " INTID996 ,Interrupt ID996 Priority/Priority Byte Offset 996 " group.long 0x7E8++0x03 line.long 0x00 "GICD_IPRIORITYR250,Interrupt Priority Register 250" hexmask.long.byte 0x00 24.--31. 1. " INTID1003 ,Interrupt ID1003 Priority/Priority Byte Offset 1003" hexmask.long.byte 0x00 16.--23. 1. " INTID1002 ,Interrupt ID1002 Priority/Priority Byte Offset 1002" hexmask.long.byte 0x00 8.--15. 1. " INTID1001 ,Interrupt ID1001 Priority/Priority Byte Offset 1001" hexmask.long.byte 0x00 0.--7. 1. " INTID1000 ,Interrupt ID1000 Priority/Priority Byte Offset 1000" group.long 0x7EC++0x03 line.long 0x00 "GICD_IPRIORITYR251,Interrupt Priority Register 251" hexmask.long.byte 0x00 24.--31. 1. " INTID1007 ,Interrupt ID1007 Priority/Priority Byte Offset 1007" hexmask.long.byte 0x00 16.--23. 1. " INTID1006 ,Interrupt ID1006 Priority/Priority Byte Offset 1006" hexmask.long.byte 0x00 8.--15. 1. " INTID1005 ,Interrupt ID1005 Priority/Priority Byte Offset 1005" hexmask.long.byte 0x00 0.--7. 1. " INTID1004 ,Interrupt ID1004 Priority/Priority Byte Offset 1004" group.long 0x7F0++0x03 line.long 0x00 "GICD_IPRIORITYR252,Interrupt Priority Register 252" hexmask.long.byte 0x00 24.--31. 1. " INTID1011 ,Interrupt ID1011 Priority/Priority Byte Offset 1011" hexmask.long.byte 0x00 16.--23. 1. " INTID1010 ,Interrupt ID1010 Priority/Priority Byte Offset 1010" hexmask.long.byte 0x00 8.--15. 1. " INTID1009 ,Interrupt ID1009 Priority/Priority Byte Offset 1009" hexmask.long.byte 0x00 0.--7. 1. " INTID1008 ,Interrupt ID1008 Priority/Priority Byte Offset 1008" group.long 0x7F4++0x03 line.long 0x00 "GICD_IPRIORITYR253,Interrupt Priority Register 253" hexmask.long.byte 0x00 24.--31. 1. " INTID1015 ,Interrupt ID1015 Priority/Priority Byte Offset 1015" hexmask.long.byte 0x00 16.--23. 1. " INTID1014 ,Interrupt ID1014 Priority/Priority Byte Offset 1014" hexmask.long.byte 0x00 8.--15. 1. " INTID1013 ,Interrupt ID1013 Priority/Priority Byte Offset 1013" hexmask.long.byte 0x00 0.--7. 1. " INTID1012 ,Interrupt ID1012 Priority/Priority Byte Offset 1012" group.long 0x7F8++0x03 line.long 0x00 "GICD_IPRIORITYR254,Interrupt Priority Register 254" hexmask.long.byte 0x00 24.--31. 1. " INTID1019 ,Interrupt ID1019 Priority/Priority Byte Offset 1019" hexmask.long.byte 0x00 16.--23. 1. " INTID1018 ,Interrupt ID1018 Priority/Priority Byte Offset 1018" hexmask.long.byte 0x00 8.--15. 1. " INTID1017 ,Interrupt ID1017 Priority/Priority Byte Offset 1017" hexmask.long.byte 0x00 0.--7. 1. " INTID1016 ,Interrupt ID1016 Priority/Priority Byte Offset 1016" else rgroup.long 0x7E0++0x03 line.long 0x00 "GICD_IPRIORITYR248,Interrupt Priority Register 248" rgroup.long 0x7E4++0x03 line.long 0x00 "GICD_IPRIORITYR249,Interrupt Priority Register 249" rgroup.long 0x7E8++0x03 line.long 0x00 "GICD_IPRIORITYR250,Interrupt Priority Register 250" rgroup.long 0x7EC++0x03 line.long 0x00 "GICD_IPRIORITYR251,Interrupt Priority Register 251" rgroup.long 0x7F0++0x03 line.long 0x00 "GICD_IPRIORITYR252,Interrupt Priority Register 252" rgroup.long 0x7F4++0x03 line.long 0x00 "GICD_IPRIORITYR253,Interrupt Priority Register 253" rgroup.long 0x7F8++0x03 line.long 0x00 "GICD_IPRIORITYR254,Interrupt Priority Register 254" endif tree.end width 19. tree "Processor Targets Registers" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x000000E0)>0x1) rgroup.long 0x800++0x03 line.long 0x00 "GICD_ITARGETSR0,Interrupt Processor Targets Register 0" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0 " rgroup.long 0x804++0x03 line.long 0x00 "GICD_ITARGETSR1,Interrupt Processor Targets Register 1" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO7 ,CPU Targets Byte Offset 7 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO6 ,CPU Targets Byte Offset 6 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO5 ,CPU Targets Byte Offset 5 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO4 ,CPU Targets Byte Offset 4 " rgroup.long 0x808++0x03 line.long 0x00 "GICD_ITARGETSR2,Interrupt Processor Targets Register 2" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO11 ,CPU Targets Byte Offset 11 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO10 ,CPU Targets Byte Offset 10 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO9 ,CPU Targets Byte Offset 9 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO8 ,CPU Targets Byte Offset 8 " rgroup.long 0x80C++0x03 line.long 0x00 "GICD_ITARGETSR3,Interrupt Processor Targets Register 3" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO15 ,CPU Targets Byte Offset 15 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO14 ,CPU Targets Byte Offset 14 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO13 ,CPU Targets Byte Offset 13 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO12 ,CPU Targets Byte Offset 12 " rgroup.long 0x810++0x03 line.long 0x00 "GICD_ITARGETSR4,Interrupt Processor Targets Register 4" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO19 ,CPU Targets Byte Offset 19 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO18 ,CPU Targets Byte Offset 18 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO17 ,CPU Targets Byte Offset 17 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO16 ,CPU Targets Byte Offset 16 " rgroup.long 0x814++0x03 line.long 0x00 "GICD_ITARGETSR5,Interrupt Processor Targets Register 5" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO23 ,CPU Targets Byte Offset 23 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO22 ,CPU Targets Byte Offset 22 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO21 ,CPU Targets Byte Offset 21 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO20 ,CPU Targets Byte Offset 20 " rgroup.long 0x818++0x03 line.long 0x00 "GICD_ITARGETSR6,Interrupt Processor Targets Register 6" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO27 ,CPU Targets Byte Offset 27 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO26 ,CPU Targets Byte Offset 26 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO25 ,CPU Targets Byte Offset 25 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO24 ,CPU Targets Byte Offset 24 " rgroup.long 0x81C++0x03 line.long 0x00 "GICD_ITARGETSR7,Interrupt Processor Targets Register 7" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO31 ,CPU Targets Byte Offset 31 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO30 ,CPU Targets Byte Offset 30 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO29 ,CPU Targets Byte Offset 29 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO28 ,CPU Targets Byte Offset 28 " if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) group.long 0x820++0x03 line.long 0x00 "GICD_ITARGETSR8,Interrupt Processor Targets Register 8" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO35 ,CPU Targets Byte Offset 35 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO34 ,CPU Targets Byte Offset 34 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO33 ,CPU Targets Byte Offset 33 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO32 ,CPU Targets Byte Offset 32 " group.long 0x824++0x03 line.long 0x00 "GICD_ITARGETSR9,Interrupt Processor Targets Register 9" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO39 ,CPU Targets Byte Offset 39 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO38 ,CPU Targets Byte Offset 38 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO37 ,CPU Targets Byte Offset 37 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO36 ,CPU Targets Byte Offset 36 " group.long 0x828++0x03 line.long 0x00 "GICD_ITARGETSR10,Interrupt Processor Targets Register 10" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO43 ,CPU Targets Byte Offset 43 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO42 ,CPU Targets Byte Offset 42 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO41 ,CPU Targets Byte Offset 41 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO40 ,CPU Targets Byte Offset 40 " group.long 0x82C++0x03 line.long 0x00 "GICD_ITARGETSR11,Interrupt Processor Targets Register 11" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO47 ,CPU Targets Byte Offset 47 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO46 ,CPU Targets Byte Offset 46 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO45 ,CPU Targets Byte Offset 45 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO44 ,CPU Targets Byte Offset 44 " group.long 0x830++0x03 line.long 0x00 "GICD_ITARGETSR12,Interrupt Processor Targets Register 12" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO51 ,CPU Targets Byte Offset 51 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO50 ,CPU Targets Byte Offset 50 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO49 ,CPU Targets Byte Offset 49 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO48 ,CPU Targets Byte Offset 48 " group.long 0x834++0x03 line.long 0x00 "GICD_ITARGETSR13,Interrupt Processor Targets Register 13" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO55 ,CPU Targets Byte Offset 55 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO54 ,CPU Targets Byte Offset 54 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO53 ,CPU Targets Byte Offset 53 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO52 ,CPU Targets Byte Offset 52 " group.long 0x838++0x03 line.long 0x00 "GICD_ITARGETSR14,Interrupt Processor Targets Register 14" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO59 ,CPU Targets Byte Offset 59 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO58 ,CPU Targets Byte Offset 58 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO57 ,CPU Targets Byte Offset 57 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO56 ,CPU Targets Byte Offset 56 " group.long 0x83C++0x03 line.long 0x00 "GICD_ITARGETSR15,Interrupt Processor Targets Register 15" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO63 ,CPU Targets Byte Offset 63 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO62 ,CPU Targets Byte Offset 62 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO61 ,CPU Targets Byte Offset 61 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO60 ,CPU Targets Byte Offset 60 " else rgroup.long 0x820++0x03 line.long 0x00 "GICD_ITARGETSR8,Interrupt Processor Targets Register 8" rgroup.long 0x824++0x03 line.long 0x00 "GICD_ITARGETSR9,Interrupt Processor Targets Register 9" rgroup.long 0x828++0x03 line.long 0x00 "GICD_ITARGETSR10,Interrupt Processor Targets Register 10" rgroup.long 0x82C++0x03 line.long 0x00 "GICD_ITARGETSR11,Interrupt Processor Targets Register 11" rgroup.long 0x830++0x03 line.long 0x00 "GICD_ITARGETSR12,Interrupt Processor Targets Register 12" rgroup.long 0x834++0x03 line.long 0x00 "GICD_ITARGETSR13,Interrupt Processor Targets Register 13" rgroup.long 0x838++0x03 line.long 0x00 "GICD_ITARGETSR14,Interrupt Processor Targets Register 14" rgroup.long 0x83C++0x03 line.long 0x00 "GICD_ITARGETSR15,Interrupt Processor Targets Register 15" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) group.long 0x840++0x03 line.long 0x00 "GICD_ITARGETSR16,Interrupt Processor Targets Register 16" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO67 ,CPU Targets Byte Offset 67 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO66 ,CPU Targets Byte Offset 66 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO65 ,CPU Targets Byte Offset 65 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO64 ,CPU Targets Byte Offset 64 " group.long 0x844++0x03 line.long 0x00 "GICD_ITARGETSR17,Interrupt Processor Targets Register 17" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO71 ,CPU Targets Byte Offset 71 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO70 ,CPU Targets Byte Offset 70 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO69 ,CPU Targets Byte Offset 69 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO68 ,CPU Targets Byte Offset 68 " group.long 0x848++0x03 line.long 0x00 "GICD_ITARGETSR18,Interrupt Processor Targets Register 18" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO75 ,CPU Targets Byte Offset 75 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO74 ,CPU Targets Byte Offset 74 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO73 ,CPU Targets Byte Offset 73 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO72 ,CPU Targets Byte Offset 72 " group.long 0x84C++0x03 line.long 0x00 "GICD_ITARGETSR19,Interrupt Processor Targets Register 19" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO79 ,CPU Targets Byte Offset 79 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO78 ,CPU Targets Byte Offset 78 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO77 ,CPU Targets Byte Offset 77 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO76 ,CPU Targets Byte Offset 76 " group.long 0x850++0x03 line.long 0x00 "GICD_ITARGETSR20,Interrupt Processor Targets Register 20" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO83 ,CPU Targets Byte Offset 83 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO82 ,CPU Targets Byte Offset 82 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO81 ,CPU Targets Byte Offset 81 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO80 ,CPU Targets Byte Offset 80 " group.long 0x854++0x03 line.long 0x00 "GICD_ITARGETSR21,Interrupt Processor Targets Register 21" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO87 ,CPU Targets Byte Offset 87 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO86 ,CPU Targets Byte Offset 86 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO85 ,CPU Targets Byte Offset 85 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO84 ,CPU Targets Byte Offset 84 " group.long 0x858++0x03 line.long 0x00 "GICD_ITARGETSR22,Interrupt Processor Targets Register 22" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO91 ,CPU Targets Byte Offset 91 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO90 ,CPU Targets Byte Offset 90 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO89 ,CPU Targets Byte Offset 89 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO88 ,CPU Targets Byte Offset 88 " group.long 0x85C++0x03 line.long 0x00 "GICD_ITARGETSR23,Interrupt Processor Targets Register 23" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO95 ,CPU Targets Byte Offset 95 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO94 ,CPU Targets Byte Offset 94 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO93 ,CPU Targets Byte Offset 93 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO92 ,CPU Targets Byte Offset 92 " else rgroup.long 0x840++0x03 line.long 0x00 "GICD_ITARGETSR16,Interrupt Processor Targets Register 16" rgroup.long 0x844++0x03 line.long 0x00 "GICD_ITARGETSR17,Interrupt Processor Targets Register 17" rgroup.long 0x848++0x03 line.long 0x00 "GICD_ITARGETSR18,Interrupt Processor Targets Register 18" rgroup.long 0x84C++0x03 line.long 0x00 "GICD_ITARGETSR19,Interrupt Processor Targets Register 19" rgroup.long 0x850++0x03 line.long 0x00 "GICD_ITARGETSR20,Interrupt Processor Targets Register 20" rgroup.long 0x854++0x03 line.long 0x00 "GICD_ITARGETSR21,Interrupt Processor Targets Register 21" rgroup.long 0x858++0x03 line.long 0x00 "GICD_ITARGETSR22,Interrupt Processor Targets Register 22" rgroup.long 0x85C++0x03 line.long 0x00 "GICD_ITARGETSR23,Interrupt Processor Targets Register 23" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) group.long 0x860++0x03 line.long 0x00 "GICD_ITARGETSR24,Interrupt Processor Targets Register 24" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO99 ,CPU Targets Byte Offset 99 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO98 ,CPU Targets Byte Offset 98 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO97 ,CPU Targets Byte Offset 97 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO96 ,CPU Targets Byte Offset 96 " group.long 0x864++0x03 line.long 0x00 "GICD_ITARGETSR25,Interrupt Processor Targets Register 25" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO103 ,CPU Targets Byte Offset 103 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO102 ,CPU Targets Byte Offset 102 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO101 ,CPU Targets Byte Offset 101 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO100 ,CPU Targets Byte Offset 100 " group.long 0x868++0x03 line.long 0x00 "GICD_ITARGETSR26,Interrupt Processor Targets Register 26" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO107 ,CPU Targets Byte Offset 107 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO106 ,CPU Targets Byte Offset 106 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO105 ,CPU Targets Byte Offset 105 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO104 ,CPU Targets Byte Offset 104 " group.long 0x86C++0x03 line.long 0x00 "GICD_ITARGETSR27,Interrupt Processor Targets Register 27" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO111 ,CPU Targets Byte Offset 111 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO110 ,CPU Targets Byte Offset 110 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO109 ,CPU Targets Byte Offset 109 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO108 ,CPU Targets Byte Offset 108 " group.long 0x870++0x03 line.long 0x00 "GICD_ITARGETSR28,Interrupt Processor Targets Register 28" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO115 ,CPU Targets Byte Offset 115 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO114 ,CPU Targets Byte Offset 114 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO113 ,CPU Targets Byte Offset 113 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO112 ,CPU Targets Byte Offset 112 " group.long 0x874++0x03 line.long 0x00 "GICD_ITARGETSR29,Interrupt Processor Targets Register 29" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO119 ,CPU Targets Byte Offset 119 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO118 ,CPU Targets Byte Offset 118 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO117 ,CPU Targets Byte Offset 117 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO116 ,CPU Targets Byte Offset 116 " group.long 0x878++0x03 line.long 0x00 "GICD_ITARGETSR30,Interrupt Processor Targets Register 30" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO123 ,CPU Targets Byte Offset 123 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO122 ,CPU Targets Byte Offset 122 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO121 ,CPU Targets Byte Offset 121 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO120 ,CPU Targets Byte Offset 120 " group.long 0x87C++0x03 line.long 0x00 "GICD_ITARGETSR31,Interrupt Processor Targets Register 31" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO127 ,CPU Targets Byte Offset 127 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO126 ,CPU Targets Byte Offset 126 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO125 ,CPU Targets Byte Offset 125 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO124 ,CPU Targets Byte Offset 124 " else rgroup.long 0x860++0x03 line.long 0x00 "GICD_ITARGETSR24,Interrupt Processor Targets Register 24" rgroup.long 0x864++0x03 line.long 0x00 "GICD_ITARGETSR25,Interrupt Processor Targets Register 25" rgroup.long 0x868++0x03 line.long 0x00 "GICD_ITARGETSR26,Interrupt Processor Targets Register 26" rgroup.long 0x86C++0x03 line.long 0x00 "GICD_ITARGETSR27,Interrupt Processor Targets Register 27" rgroup.long 0x870++0x03 line.long 0x00 "GICD_ITARGETSR28,Interrupt Processor Targets Register 28" rgroup.long 0x874++0x03 line.long 0x00 "GICD_ITARGETSR29,Interrupt Processor Targets Register 29" rgroup.long 0x878++0x03 line.long 0x00 "GICD_ITARGETSR30,Interrupt Processor Targets Register 30" rgroup.long 0x87C++0x03 line.long 0x00 "GICD_ITARGETSR31,Interrupt Processor Targets Register 31" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) group.long 0x880++0x03 line.long 0x00 "GICD_ITARGETSR32,Interrupt Processor Targets Register 32" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO131 ,CPU Targets Byte Offset 131 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO130 ,CPU Targets Byte Offset 130 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO129 ,CPU Targets Byte Offset 129 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO128 ,CPU Targets Byte Offset 128 " group.long 0x884++0x03 line.long 0x00 "GICD_ITARGETSR33,Interrupt Processor Targets Register 33" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO135 ,CPU Targets Byte Offset 135 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO134 ,CPU Targets Byte Offset 134 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO133 ,CPU Targets Byte Offset 133 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO132 ,CPU Targets Byte Offset 132 " group.long 0x888++0x03 line.long 0x00 "GICD_ITARGETSR34,Interrupt Processor Targets Register 34" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO139 ,CPU Targets Byte Offset 139 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO138 ,CPU Targets Byte Offset 138 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO137 ,CPU Targets Byte Offset 137 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO136 ,CPU Targets Byte Offset 136 " group.long 0x88C++0x03 line.long 0x00 "GICD_ITARGETSR35,Interrupt Processor Targets Register 35" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO143 ,CPU Targets Byte Offset 143 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO142 ,CPU Targets Byte Offset 142 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO141 ,CPU Targets Byte Offset 141 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO140 ,CPU Targets Byte Offset 140 " group.long 0x890++0x03 line.long 0x00 "GICD_ITARGETSR36,Interrupt Processor Targets Register 36" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO147 ,CPU Targets Byte Offset 147 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO146 ,CPU Targets Byte Offset 146 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO145 ,CPU Targets Byte Offset 145 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO144 ,CPU Targets Byte Offset 144 " group.long 0x894++0x03 line.long 0x00 "GICD_ITARGETSR37,Interrupt Processor Targets Register 37" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO151 ,CPU Targets Byte Offset 151 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO150 ,CPU Targets Byte Offset 150 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO149 ,CPU Targets Byte Offset 149 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO148 ,CPU Targets Byte Offset 148 " group.long 0x898++0x03 line.long 0x00 "GICD_ITARGETSR38,Interrupt Processor Targets Register 38" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO155 ,CPU Targets Byte Offset 155 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO154 ,CPU Targets Byte Offset 154 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO153 ,CPU Targets Byte Offset 153 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO152 ,CPU Targets Byte Offset 152 " group.long 0x89C++0x03 line.long 0x00 "GICD_ITARGETSR39,Interrupt Processor Targets Register 39" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO159 ,CPU Targets Byte Offset 159 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO158 ,CPU Targets Byte Offset 158 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO157 ,CPU Targets Byte Offset 157 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO156 ,CPU Targets Byte Offset 156 " else rgroup.long 0x880++0x03 line.long 0x00 "GICD_ITARGETSR32,Interrupt Processor Targets Register 32" rgroup.long 0x884++0x03 line.long 0x00 "GICD_ITARGETSR33,Interrupt Processor Targets Register 33" rgroup.long 0x888++0x03 line.long 0x00 "GICD_ITARGETSR34,Interrupt Processor Targets Register 34" rgroup.long 0x88C++0x03 line.long 0x00 "GICD_ITARGETSR35,Interrupt Processor Targets Register 35" rgroup.long 0x890++0x03 line.long 0x00 "GICD_ITARGETSR36,Interrupt Processor Targets Register 36" rgroup.long 0x894++0x03 line.long 0x00 "GICD_ITARGETSR37,Interrupt Processor Targets Register 37" rgroup.long 0x898++0x03 line.long 0x00 "GICD_ITARGETSR38,Interrupt Processor Targets Register 38" rgroup.long 0x89C++0x03 line.long 0x00 "GICD_ITARGETSR39,Interrupt Processor Targets Register 39" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) group.long 0x8A0++0x03 line.long 0x00 "GICD_ITARGETSR40,Interrupt Processor Targets Register 40" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO163 ,CPU Targets Byte Offset 163 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO162 ,CPU Targets Byte Offset 162 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO161 ,CPU Targets Byte Offset 161 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO160 ,CPU Targets Byte Offset 160 " group.long 0x8A4++0x03 line.long 0x00 "GICD_ITARGETSR41,Interrupt Processor Targets Register 41" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO167 ,CPU Targets Byte Offset 167 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO166 ,CPU Targets Byte Offset 166 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO165 ,CPU Targets Byte Offset 165 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO164 ,CPU Targets Byte Offset 164 " group.long 0x8A8++0x03 line.long 0x00 "GICD_ITARGETSR42,Interrupt Processor Targets Register 42" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO171 ,CPU Targets Byte Offset 171 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO170 ,CPU Targets Byte Offset 170 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO169 ,CPU Targets Byte Offset 169 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO168 ,CPU Targets Byte Offset 168 " group.long 0x8AC++0x03 line.long 0x00 "GICD_ITARGETSR43,Interrupt Processor Targets Register 43" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO175 ,CPU Targets Byte Offset 175 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO174 ,CPU Targets Byte Offset 174 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO173 ,CPU Targets Byte Offset 173 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO172 ,CPU Targets Byte Offset 172 " group.long 0x8B0++0x03 line.long 0x00 "GICD_ITARGETSR44,Interrupt Processor Targets Register 44" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO179 ,CPU Targets Byte Offset 179 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO178 ,CPU Targets Byte Offset 178 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO177 ,CPU Targets Byte Offset 177 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO176 ,CPU Targets Byte Offset 176 " group.long 0x8B4++0x03 line.long 0x00 "GICD_ITARGETSR45,Interrupt Processor Targets Register 45" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO183 ,CPU Targets Byte Offset 183 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO182 ,CPU Targets Byte Offset 182 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO181 ,CPU Targets Byte Offset 181 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO180 ,CPU Targets Byte Offset 180 " group.long 0x8B8++0x03 line.long 0x00 "GICD_ITARGETSR46,Interrupt Processor Targets Register 46" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO187 ,CPU Targets Byte Offset 187 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO186 ,CPU Targets Byte Offset 186 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO185 ,CPU Targets Byte Offset 185 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO184 ,CPU Targets Byte Offset 184 " group.long 0x8BC++0x03 line.long 0x00 "GICD_ITARGETSR47,Interrupt Processor Targets Register 47" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO191 ,CPU Targets Byte Offset 191 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO190 ,CPU Targets Byte Offset 190 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO189 ,CPU Targets Byte Offset 189 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO188 ,CPU Targets Byte Offset 188 " else rgroup.long 0x8A0++0x03 line.long 0x00 "GICD_ITARGETSR40,Interrupt Processor Targets Register 40" rgroup.long 0x8A4++0x03 line.long 0x00 "GICD_ITARGETSR41,Interrupt Processor Targets Register 41" rgroup.long 0x8A8++0x03 line.long 0x00 "GICD_ITARGETSR42,Interrupt Processor Targets Register 42" rgroup.long 0x8AC++0x03 line.long 0x00 "GICD_ITARGETSR43,Interrupt Processor Targets Register 43" rgroup.long 0x8B0++0x03 line.long 0x00 "GICD_ITARGETSR44,Interrupt Processor Targets Register 44" rgroup.long 0x8B4++0x03 line.long 0x00 "GICD_ITARGETSR45,Interrupt Processor Targets Register 45" rgroup.long 0x8B8++0x03 line.long 0x00 "GICD_ITARGETSR46,Interrupt Processor Targets Register 46" rgroup.long 0x8BC++0x03 line.long 0x00 "GICD_ITARGETSR47,Interrupt Processor Targets Register 47" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) group.long 0x8C0++0x03 line.long 0x00 "GICD_ITARGETSR48,Interrupt Processor Targets Register 48" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO195 ,CPU Targets Byte Offset 195 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO194 ,CPU Targets Byte Offset 194 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO193 ,CPU Targets Byte Offset 193 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO192 ,CPU Targets Byte Offset 192 " group.long 0x8C4++0x03 line.long 0x00 "GICD_ITARGETSR49,Interrupt Processor Targets Register 49" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO199 ,CPU Targets Byte Offset 199 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO198 ,CPU Targets Byte Offset 198 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO197 ,CPU Targets Byte Offset 197 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO196 ,CPU Targets Byte Offset 196 " group.long 0x8C8++0x03 line.long 0x00 "GICD_ITARGETSR50,Interrupt Processor Targets Register 50" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO203 ,CPU Targets Byte Offset 203 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO202 ,CPU Targets Byte Offset 202 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO201 ,CPU Targets Byte Offset 201 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO200 ,CPU Targets Byte Offset 200 " group.long 0x8CC++0x03 line.long 0x00 "GICD_ITARGETSR51,Interrupt Processor Targets Register 51" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO207 ,CPU Targets Byte Offset 207 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO206 ,CPU Targets Byte Offset 206 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO205 ,CPU Targets Byte Offset 205 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO204 ,CPU Targets Byte Offset 204 " group.long 0x8D0++0x03 line.long 0x00 "GICD_ITARGETSR52,Interrupt Processor Targets Register 52" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO211 ,CPU Targets Byte Offset 211 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO210 ,CPU Targets Byte Offset 210 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO209 ,CPU Targets Byte Offset 209 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO208 ,CPU Targets Byte Offset 208 " group.long 0x8D4++0x03 line.long 0x00 "GICD_ITARGETSR53,Interrupt Processor Targets Register 53" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO215 ,CPU Targets Byte Offset 215 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO214 ,CPU Targets Byte Offset 214 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO213 ,CPU Targets Byte Offset 213 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO212 ,CPU Targets Byte Offset 212 " group.long 0x8D8++0x03 line.long 0x00 "GICD_ITARGETSR54,Interrupt Processor Targets Register 54" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO219 ,CPU Targets Byte Offset 219 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO218 ,CPU Targets Byte Offset 218 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO217 ,CPU Targets Byte Offset 217 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO216 ,CPU Targets Byte Offset 216 " group.long 0x8DC++0x03 line.long 0x00 "GICD_ITARGETSR55,Interrupt Processor Targets Register 55" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO223 ,CPU Targets Byte Offset 223 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO222 ,CPU Targets Byte Offset 222 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO221 ,CPU Targets Byte Offset 221 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO220 ,CPU Targets Byte Offset 220 " else rgroup.long 0x8C0++0x03 line.long 0x00 "GICD_ITARGETSR48,Interrupt Processor Targets Register 48" rgroup.long 0x8C4++0x03 line.long 0x00 "GICD_ITARGETSR49,Interrupt Processor Targets Register 49" rgroup.long 0x8C8++0x03 line.long 0x00 "GICD_ITARGETSR50,Interrupt Processor Targets Register 50" rgroup.long 0x8CC++0x03 line.long 0x00 "GICD_ITARGETSR51,Interrupt Processor Targets Register 51" rgroup.long 0x8D0++0x03 line.long 0x00 "GICD_ITARGETSR52,Interrupt Processor Targets Register 52" rgroup.long 0x8D4++0x03 line.long 0x00 "GICD_ITARGETSR53,Interrupt Processor Targets Register 53" rgroup.long 0x8D8++0x03 line.long 0x00 "GICD_ITARGETSR54,Interrupt Processor Targets Register 54" rgroup.long 0x8DC++0x03 line.long 0x00 "GICD_ITARGETSR55,Interrupt Processor Targets Register 55" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) group.long 0x8E0++0x03 line.long 0x00 "GICD_ITARGETSR56,Interrupt Processor Targets Register 56" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO227 ,CPU Targets Byte Offset 227 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO226 ,CPU Targets Byte Offset 226 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO225 ,CPU Targets Byte Offset 225 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO224 ,CPU Targets Byte Offset 224 " group.long 0x8E4++0x03 line.long 0x00 "GICD_ITARGETSR57,Interrupt Processor Targets Register 57" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO231 ,CPU Targets Byte Offset 231 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO230 ,CPU Targets Byte Offset 230 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO229 ,CPU Targets Byte Offset 229 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO228 ,CPU Targets Byte Offset 228 " group.long 0x8E8++0x03 line.long 0x00 "GICD_ITARGETSR58,Interrupt Processor Targets Register 58" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO235 ,CPU Targets Byte Offset 235 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO234 ,CPU Targets Byte Offset 234 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO233 ,CPU Targets Byte Offset 233 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO232 ,CPU Targets Byte Offset 232 " group.long 0x8EC++0x03 line.long 0x00 "GICD_ITARGETSR59,Interrupt Processor Targets Register 59" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO239 ,CPU Targets Byte Offset 239 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO238 ,CPU Targets Byte Offset 238 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO237 ,CPU Targets Byte Offset 237 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO236 ,CPU Targets Byte Offset 236 " group.long 0x8F0++0x03 line.long 0x00 "GICD_ITARGETSR60,Interrupt Processor Targets Register 60" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO243 ,CPU Targets Byte Offset 243 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO242 ,CPU Targets Byte Offset 242 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO241 ,CPU Targets Byte Offset 241 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO240 ,CPU Targets Byte Offset 240 " group.long 0x8F4++0x03 line.long 0x00 "GICD_ITARGETSR61,Interrupt Processor Targets Register 61" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO247 ,CPU Targets Byte Offset 247 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO246 ,CPU Targets Byte Offset 246 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO245 ,CPU Targets Byte Offset 245 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO244 ,CPU Targets Byte Offset 244 " group.long 0x8F8++0x03 line.long 0x00 "GICD_ITARGETSR62,Interrupt Processor Targets Register 62" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO251 ,CPU Targets Byte Offset 251 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO250 ,CPU Targets Byte Offset 250 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO249 ,CPU Targets Byte Offset 249 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO248 ,CPU Targets Byte Offset 248 " group.long 0x8FC++0x03 line.long 0x00 "GICD_ITARGETSR63,Interrupt Processor Targets Register 63" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO255 ,CPU Targets Byte Offset 255 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO254 ,CPU Targets Byte Offset 254 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO253 ,CPU Targets Byte Offset 253 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO252 ,CPU Targets Byte Offset 252 " else rgroup.long 0x8E0++0x03 line.long 0x00 "GICD_ITARGETSR56,Interrupt Processor Targets Register 56" rgroup.long 0x8E4++0x03 line.long 0x00 "GICD_ITARGETSR57,Interrupt Processor Targets Register 57" rgroup.long 0x8E8++0x03 line.long 0x00 "GICD_ITARGETSR58,Interrupt Processor Targets Register 58" rgroup.long 0x8EC++0x03 line.long 0x00 "GICD_ITARGETSR59,Interrupt Processor Targets Register 59" rgroup.long 0x8F0++0x03 line.long 0x00 "GICD_ITARGETSR60,Interrupt Processor Targets Register 60" rgroup.long 0x8F4++0x03 line.long 0x00 "GICD_ITARGETSR61,Interrupt Processor Targets Register 61" rgroup.long 0x8F8++0x03 line.long 0x00 "GICD_ITARGETSR62,Interrupt Processor Targets Register 62" rgroup.long 0x8FC++0x03 line.long 0x00 "GICD_ITARGETSR63,Interrupt Processor Targets Register 63" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) group.long 0x900++0x03 line.long 0x00 "GICD_ITARGETSR64,Interrupt Processor Targets Register 64" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO259 ,CPU Targets Byte Offset 259 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO258 ,CPU Targets Byte Offset 258 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO257 ,CPU Targets Byte Offset 257 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO256 ,CPU Targets Byte Offset 256 " group.long 0x904++0x03 line.long 0x00 "GICD_ITARGETSR65,Interrupt Processor Targets Register 65" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO263 ,CPU Targets Byte Offset 263 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO262 ,CPU Targets Byte Offset 262 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO261 ,CPU Targets Byte Offset 261 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO260 ,CPU Targets Byte Offset 260 " group.long 0x908++0x03 line.long 0x00 "GICD_ITARGETSR66,Interrupt Processor Targets Register 66" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO267 ,CPU Targets Byte Offset 267 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO266 ,CPU Targets Byte Offset 266 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO265 ,CPU Targets Byte Offset 265 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO264 ,CPU Targets Byte Offset 264 " group.long 0x90C++0x03 line.long 0x00 "GICD_ITARGETSR67,Interrupt Processor Targets Register 67" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO271 ,CPU Targets Byte Offset 271 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO270 ,CPU Targets Byte Offset 270 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO269 ,CPU Targets Byte Offset 269 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO268 ,CPU Targets Byte Offset 268 " group.long 0x910++0x03 line.long 0x00 "GICD_ITARGETSR68,Interrupt Processor Targets Register 68" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO275 ,CPU Targets Byte Offset 275 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO274 ,CPU Targets Byte Offset 274 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO273 ,CPU Targets Byte Offset 273 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO272 ,CPU Targets Byte Offset 272 " group.long 0x914++0x03 line.long 0x00 "GICD_ITARGETSR69,Interrupt Processor Targets Register 69" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO279 ,CPU Targets Byte Offset 279 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO278 ,CPU Targets Byte Offset 278 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO277 ,CPU Targets Byte Offset 277 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO276 ,CPU Targets Byte Offset 276 " group.long 0x918++0x03 line.long 0x00 "GICD_ITARGETSR70,Interrupt Processor Targets Register 70" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO283 ,CPU Targets Byte Offset 283 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO282 ,CPU Targets Byte Offset 282 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO281 ,CPU Targets Byte Offset 281 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO280 ,CPU Targets Byte Offset 280 " group.long 0x91C++0x03 line.long 0x00 "GICD_ITARGETSR71,Interrupt Processor Targets Register 71" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO287 ,CPU Targets Byte Offset 287 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO286 ,CPU Targets Byte Offset 286 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO285 ,CPU Targets Byte Offset 285 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO284 ,CPU Targets Byte Offset 284 " else rgroup.long 0x900++0x03 line.long 0x00 "GICD_ITARGETSR64,Interrupt Processor Targets Register 64" rgroup.long 0x904++0x03 line.long 0x00 "GICD_ITARGETSR65,Interrupt Processor Targets Register 65" rgroup.long 0x908++0x03 line.long 0x00 "GICD_ITARGETSR66,Interrupt Processor Targets Register 66" rgroup.long 0x90C++0x03 line.long 0x00 "GICD_ITARGETSR67,Interrupt Processor Targets Register 67" rgroup.long 0x910++0x03 line.long 0x00 "GICD_ITARGETSR68,Interrupt Processor Targets Register 68" rgroup.long 0x914++0x03 line.long 0x00 "GICD_ITARGETSR69,Interrupt Processor Targets Register 69" rgroup.long 0x918++0x03 line.long 0x00 "GICD_ITARGETSR70,Interrupt Processor Targets Register 70" rgroup.long 0x91C++0x03 line.long 0x00 "GICD_ITARGETSR71,Interrupt Processor Targets Register 71" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) group.long 0x920++0x03 line.long 0x00 "GICD_ITARGETSR72,Interrupt Processor Targets Register 72" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO291 ,CPU Targets Byte Offset 291 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO290 ,CPU Targets Byte Offset 290 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO289 ,CPU Targets Byte Offset 289 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO288 ,CPU Targets Byte Offset 288 " group.long 0x924++0x03 line.long 0x00 "GICD_ITARGETSR73,Interrupt Processor Targets Register 73" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO295 ,CPU Targets Byte Offset 295 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO294 ,CPU Targets Byte Offset 294 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO293 ,CPU Targets Byte Offset 293 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO292 ,CPU Targets Byte Offset 292 " group.long 0x928++0x03 line.long 0x00 "GICD_ITARGETSR74,Interrupt Processor Targets Register 74" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO299 ,CPU Targets Byte Offset 299 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO298 ,CPU Targets Byte Offset 298 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO297 ,CPU Targets Byte Offset 297 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO296 ,CPU Targets Byte Offset 296 " group.long 0x92C++0x03 line.long 0x00 "GICD_ITARGETSR75,Interrupt Processor Targets Register 75" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO303 ,CPU Targets Byte Offset 303 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO302 ,CPU Targets Byte Offset 302 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO301 ,CPU Targets Byte Offset 301 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO300 ,CPU Targets Byte Offset 300 " group.long 0x930++0x03 line.long 0x00 "GICD_ITARGETSR76,Interrupt Processor Targets Register 76" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO307 ,CPU Targets Byte Offset 307 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO306 ,CPU Targets Byte Offset 306 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO305 ,CPU Targets Byte Offset 305 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO304 ,CPU Targets Byte Offset 304 " group.long 0x934++0x03 line.long 0x00 "GICD_ITARGETSR77,Interrupt Processor Targets Register 77" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO311 ,CPU Targets Byte Offset 311 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO310 ,CPU Targets Byte Offset 310 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO309 ,CPU Targets Byte Offset 309 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO308 ,CPU Targets Byte Offset 308 " group.long 0x938++0x03 line.long 0x00 "GICD_ITARGETSR78,Interrupt Processor Targets Register 78" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO315 ,CPU Targets Byte Offset 315 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO314 ,CPU Targets Byte Offset 314 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO313 ,CPU Targets Byte Offset 313 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO312 ,CPU Targets Byte Offset 312 " group.long 0x93C++0x03 line.long 0x00 "GICD_ITARGETSR79,Interrupt Processor Targets Register 79" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO319 ,CPU Targets Byte Offset 319 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO318 ,CPU Targets Byte Offset 318 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO317 ,CPU Targets Byte Offset 317 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO316 ,CPU Targets Byte Offset 316 " else rgroup.long 0x920++0x03 line.long 0x00 "GICD_ITARGETSR72,Interrupt Processor Targets Register 72" rgroup.long 0x924++0x03 line.long 0x00 "GICD_ITARGETSR73,Interrupt Processor Targets Register 73" rgroup.long 0x928++0x03 line.long 0x00 "GICD_ITARGETSR74,Interrupt Processor Targets Register 74" rgroup.long 0x92C++0x03 line.long 0x00 "GICD_ITARGETSR75,Interrupt Processor Targets Register 75" rgroup.long 0x930++0x03 line.long 0x00 "GICD_ITARGETSR76,Interrupt Processor Targets Register 76" rgroup.long 0x934++0x03 line.long 0x00 "GICD_ITARGETSR77,Interrupt Processor Targets Register 77" rgroup.long 0x938++0x03 line.long 0x00 "GICD_ITARGETSR78,Interrupt Processor Targets Register 78" rgroup.long 0x93C++0x03 line.long 0x00 "GICD_ITARGETSR79,Interrupt Processor Targets Register 79" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) group.long 0x940++0x03 line.long 0x00 "GICD_ITARGETSR80,Interrupt Processor Targets Register 80" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO323 ,CPU Targets Byte Offset 323 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO322 ,CPU Targets Byte Offset 322 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO321 ,CPU Targets Byte Offset 321 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO320 ,CPU Targets Byte Offset 320 " group.long 0x944++0x03 line.long 0x00 "GICD_ITARGETSR81,Interrupt Processor Targets Register 81" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO327 ,CPU Targets Byte Offset 327 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO326 ,CPU Targets Byte Offset 326 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO325 ,CPU Targets Byte Offset 325 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO324 ,CPU Targets Byte Offset 324 " group.long 0x948++0x03 line.long 0x00 "GICD_ITARGETSR82,Interrupt Processor Targets Register 82" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO331 ,CPU Targets Byte Offset 331 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO330 ,CPU Targets Byte Offset 330 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO329 ,CPU Targets Byte Offset 329 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO328 ,CPU Targets Byte Offset 328 " group.long 0x94C++0x03 line.long 0x00 "GICD_ITARGETSR83,Interrupt Processor Targets Register 83" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO335 ,CPU Targets Byte Offset 335 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO334 ,CPU Targets Byte Offset 334 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO333 ,CPU Targets Byte Offset 333 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO332 ,CPU Targets Byte Offset 332 " group.long 0x950++0x03 line.long 0x00 "GICD_ITARGETSR84,Interrupt Processor Targets Register 84" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO339 ,CPU Targets Byte Offset 339 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO338 ,CPU Targets Byte Offset 338 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO337 ,CPU Targets Byte Offset 337 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO336 ,CPU Targets Byte Offset 336 " group.long 0x954++0x03 line.long 0x00 "GICD_ITARGETSR85,Interrupt Processor Targets Register 85" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO343 ,CPU Targets Byte Offset 343 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO342 ,CPU Targets Byte Offset 342 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO341 ,CPU Targets Byte Offset 341 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO340 ,CPU Targets Byte Offset 340 " group.long 0x958++0x03 line.long 0x00 "GICD_ITARGETSR86,Interrupt Processor Targets Register 86" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO347 ,CPU Targets Byte Offset 347 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO346 ,CPU Targets Byte Offset 346 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO345 ,CPU Targets Byte Offset 345 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO344 ,CPU Targets Byte Offset 344 " group.long 0x95C++0x03 line.long 0x00 "GICD_ITARGETSR87,Interrupt Processor Targets Register 87" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO351 ,CPU Targets Byte Offset 351 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO350 ,CPU Targets Byte Offset 350 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO349 ,CPU Targets Byte Offset 349 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO348 ,CPU Targets Byte Offset 348 " else rgroup.long 0x940++0x03 line.long 0x00 "GICD_ITARGETSR80,Interrupt Processor Targets Register 80" rgroup.long 0x944++0x03 line.long 0x00 "GICD_ITARGETSR81,Interrupt Processor Targets Register 81" rgroup.long 0x948++0x03 line.long 0x00 "GICD_ITARGETSR82,Interrupt Processor Targets Register 82" rgroup.long 0x94C++0x03 line.long 0x00 "GICD_ITARGETSR83,Interrupt Processor Targets Register 83" rgroup.long 0x950++0x03 line.long 0x00 "GICD_ITARGETSR84,Interrupt Processor Targets Register 84" rgroup.long 0x954++0x03 line.long 0x00 "GICD_ITARGETSR85,Interrupt Processor Targets Register 85" rgroup.long 0x958++0x03 line.long 0x00 "GICD_ITARGETSR86,Interrupt Processor Targets Register 86" rgroup.long 0x95C++0x03 line.long 0x00 "GICD_ITARGETSR87,Interrupt Processor Targets Register 87" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) group.long 0x960++0x03 line.long 0x00 "GICD_ITARGETSR88,Interrupt Processor Targets Register 88" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO355 ,CPU Targets Byte Offset 355 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO354 ,CPU Targets Byte Offset 354 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO353 ,CPU Targets Byte Offset 353 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO352 ,CPU Targets Byte Offset 352 " group.long 0x964++0x03 line.long 0x00 "GICD_ITARGETSR89,Interrupt Processor Targets Register 89" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO359 ,CPU Targets Byte Offset 359 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO358 ,CPU Targets Byte Offset 358 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO357 ,CPU Targets Byte Offset 357 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO356 ,CPU Targets Byte Offset 356 " group.long 0x968++0x03 line.long 0x00 "GICD_ITARGETSR90,Interrupt Processor Targets Register 90" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO363 ,CPU Targets Byte Offset 363 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO362 ,CPU Targets Byte Offset 362 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO361 ,CPU Targets Byte Offset 361 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO360 ,CPU Targets Byte Offset 360 " group.long 0x96C++0x03 line.long 0x00 "GICD_ITARGETSR91,Interrupt Processor Targets Register 91" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO367 ,CPU Targets Byte Offset 367 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO366 ,CPU Targets Byte Offset 366 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO365 ,CPU Targets Byte Offset 365 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO364 ,CPU Targets Byte Offset 364 " group.long 0x970++0x03 line.long 0x00 "GICD_ITARGETSR92,Interrupt Processor Targets Register 92" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO371 ,CPU Targets Byte Offset 371 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO370 ,CPU Targets Byte Offset 370 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO369 ,CPU Targets Byte Offset 369 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO368 ,CPU Targets Byte Offset 368 " group.long 0x974++0x03 line.long 0x00 "GICD_ITARGETSR93,Interrupt Processor Targets Register 93" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO375 ,CPU Targets Byte Offset 375 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO374 ,CPU Targets Byte Offset 374 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO373 ,CPU Targets Byte Offset 373 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO372 ,CPU Targets Byte Offset 372 " group.long 0x978++0x03 line.long 0x00 "GICD_ITARGETSR94,Interrupt Processor Targets Register 94" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO379 ,CPU Targets Byte Offset 379 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO378 ,CPU Targets Byte Offset 378 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO377 ,CPU Targets Byte Offset 377 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO376 ,CPU Targets Byte Offset 376 " group.long 0x97C++0x03 line.long 0x00 "GICD_ITARGETSR95,Interrupt Processor Targets Register 95" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO383 ,CPU Targets Byte Offset 383 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO382 ,CPU Targets Byte Offset 382 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO381 ,CPU Targets Byte Offset 381 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO380 ,CPU Targets Byte Offset 380 " else rgroup.long 0x960++0x03 line.long 0x00 "GICD_ITARGETSR88,Interrupt Processor Targets Register 88" rgroup.long 0x964++0x03 line.long 0x00 "GICD_ITARGETSR89,Interrupt Processor Targets Register 89" rgroup.long 0x968++0x03 line.long 0x00 "GICD_ITARGETSR90,Interrupt Processor Targets Register 90" rgroup.long 0x96C++0x03 line.long 0x00 "GICD_ITARGETSR91,Interrupt Processor Targets Register 91" rgroup.long 0x970++0x03 line.long 0x00 "GICD_ITARGETSR92,Interrupt Processor Targets Register 92" rgroup.long 0x974++0x03 line.long 0x00 "GICD_ITARGETSR93,Interrupt Processor Targets Register 93" rgroup.long 0x978++0x03 line.long 0x00 "GICD_ITARGETSR94,Interrupt Processor Targets Register 94" rgroup.long 0x97C++0x03 line.long 0x00 "GICD_ITARGETSR95,Interrupt Processor Targets Register 95" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) group.long 0x980++0x03 line.long 0x00 "GICD_ITARGETSR96,Interrupt Processor Targets Register 96" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO387 ,CPU Targets Byte Offset 387 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO386 ,CPU Targets Byte Offset 386 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO385 ,CPU Targets Byte Offset 385 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO384 ,CPU Targets Byte Offset 384 " group.long 0x984++0x03 line.long 0x00 "GICD_ITARGETSR97,Interrupt Processor Targets Register 97" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO391 ,CPU Targets Byte Offset 391 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO390 ,CPU Targets Byte Offset 390 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO389 ,CPU Targets Byte Offset 389 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO388 ,CPU Targets Byte Offset 388 " group.long 0x988++0x03 line.long 0x00 "GICD_ITARGETSR98,Interrupt Processor Targets Register 98" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO395 ,CPU Targets Byte Offset 395 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO394 ,CPU Targets Byte Offset 394 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO393 ,CPU Targets Byte Offset 393 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO392 ,CPU Targets Byte Offset 392 " group.long 0x98C++0x03 line.long 0x00 "GICD_ITARGETSR99,Interrupt Processor Targets Register 99" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO399 ,CPU Targets Byte Offset 399 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO398 ,CPU Targets Byte Offset 398 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO397 ,CPU Targets Byte Offset 397 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO396 ,CPU Targets Byte Offset 396 " group.long 0x990++0x03 line.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO403 ,CPU Targets Byte Offset 403 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO402 ,CPU Targets Byte Offset 402 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO401 ,CPU Targets Byte Offset 401 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO400 ,CPU Targets Byte Offset 400 " group.long 0x994++0x03 line.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO407 ,CPU Targets Byte Offset 407 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO406 ,CPU Targets Byte Offset 406 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO405 ,CPU Targets Byte Offset 405 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO404 ,CPU Targets Byte Offset 404 " group.long 0x998++0x03 line.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO411 ,CPU Targets Byte Offset 411 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO410 ,CPU Targets Byte Offset 410 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO409 ,CPU Targets Byte Offset 409 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO408 ,CPU Targets Byte Offset 408 " group.long 0x99C++0x03 line.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO415 ,CPU Targets Byte Offset 415 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO414 ,CPU Targets Byte Offset 414 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO413 ,CPU Targets Byte Offset 413 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO412 ,CPU Targets Byte Offset 412 " else rgroup.long 0x980++0x03 line.long 0x00 "GICD_ITARGETSR96,Interrupt Processor Targets Register 96" rgroup.long 0x984++0x03 line.long 0x00 "GICD_ITARGETSR97,Interrupt Processor Targets Register 97" rgroup.long 0x988++0x03 line.long 0x00 "GICD_ITARGETSR98,Interrupt Processor Targets Register 98" rgroup.long 0x98C++0x03 line.long 0x00 "GICD_ITARGETSR99,Interrupt Processor Targets Register 99" rgroup.long 0x990++0x03 line.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" rgroup.long 0x994++0x03 line.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" rgroup.long 0x998++0x03 line.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" rgroup.long 0x99C++0x03 line.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) group.long 0x9A0++0x03 line.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO419 ,CPU Targets Byte Offset 419 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO418 ,CPU Targets Byte Offset 418 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO417 ,CPU Targets Byte Offset 417 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO416 ,CPU Targets Byte Offset 416 " group.long 0x9A4++0x03 line.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO423 ,CPU Targets Byte Offset 423 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO422 ,CPU Targets Byte Offset 422 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO421 ,CPU Targets Byte Offset 421 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO420 ,CPU Targets Byte Offset 420 " group.long 0x9A8++0x03 line.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO427 ,CPU Targets Byte Offset 427 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO426 ,CPU Targets Byte Offset 426 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO425 ,CPU Targets Byte Offset 425 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO424 ,CPU Targets Byte Offset 424 " group.long 0x9AC++0x03 line.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO431 ,CPU Targets Byte Offset 431 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO430 ,CPU Targets Byte Offset 430 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO429 ,CPU Targets Byte Offset 429 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO428 ,CPU Targets Byte Offset 428 " group.long 0x9B0++0x03 line.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO435 ,CPU Targets Byte Offset 435 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO434 ,CPU Targets Byte Offset 434 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO433 ,CPU Targets Byte Offset 433 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO432 ,CPU Targets Byte Offset 432 " group.long 0x9B4++0x03 line.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO439 ,CPU Targets Byte Offset 439 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO438 ,CPU Targets Byte Offset 438 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO437 ,CPU Targets Byte Offset 437 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO436 ,CPU Targets Byte Offset 436 " group.long 0x9B8++0x03 line.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO443 ,CPU Targets Byte Offset 443 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO442 ,CPU Targets Byte Offset 442 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO441 ,CPU Targets Byte Offset 441 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO440 ,CPU Targets Byte Offset 440 " group.long 0x9BC++0x03 line.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO447 ,CPU Targets Byte Offset 447 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO446 ,CPU Targets Byte Offset 446 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO445 ,CPU Targets Byte Offset 445 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO444 ,CPU Targets Byte Offset 444 " else rgroup.long 0x9A0++0x03 line.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" rgroup.long 0x9A4++0x03 line.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" rgroup.long 0x9A8++0x03 line.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" rgroup.long 0x9AC++0x03 line.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" rgroup.long 0x9B0++0x03 line.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" rgroup.long 0x9B4++0x03 line.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" rgroup.long 0x9B8++0x03 line.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" rgroup.long 0x9BC++0x03 line.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) group.long 0x9C0++0x03 line.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO451 ,CPU Targets Byte Offset 451 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO450 ,CPU Targets Byte Offset 450 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO449 ,CPU Targets Byte Offset 449 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO448 ,CPU Targets Byte Offset 448 " group.long 0x9C4++0x03 line.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO455 ,CPU Targets Byte Offset 455 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO454 ,CPU Targets Byte Offset 454 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO453 ,CPU Targets Byte Offset 453 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO452 ,CPU Targets Byte Offset 452 " group.long 0x9C8++0x03 line.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO459 ,CPU Targets Byte Offset 459 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO458 ,CPU Targets Byte Offset 458 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO457 ,CPU Targets Byte Offset 457 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO456 ,CPU Targets Byte Offset 456 " group.long 0x9CC++0x03 line.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO463 ,CPU Targets Byte Offset 463 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO462 ,CPU Targets Byte Offset 462 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO461 ,CPU Targets Byte Offset 461 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO460 ,CPU Targets Byte Offset 460 " group.long 0x9D0++0x03 line.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO467 ,CPU Targets Byte Offset 467 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO466 ,CPU Targets Byte Offset 466 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO465 ,CPU Targets Byte Offset 465 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO464 ,CPU Targets Byte Offset 464 " group.long 0x9D4++0x03 line.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO471 ,CPU Targets Byte Offset 471 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO470 ,CPU Targets Byte Offset 470 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO469 ,CPU Targets Byte Offset 469 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO468 ,CPU Targets Byte Offset 468 " group.long 0x9D8++0x03 line.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO475 ,CPU Targets Byte Offset 475 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO474 ,CPU Targets Byte Offset 474 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO473 ,CPU Targets Byte Offset 473 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO472 ,CPU Targets Byte Offset 472 " group.long 0x9DC++0x03 line.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO479 ,CPU Targets Byte Offset 479 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO478 ,CPU Targets Byte Offset 478 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO477 ,CPU Targets Byte Offset 477 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO476 ,CPU Targets Byte Offset 476 " else rgroup.long 0x9C0++0x03 line.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" rgroup.long 0x9C4++0x03 line.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" rgroup.long 0x9C8++0x03 line.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" rgroup.long 0x9CC++0x03 line.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" rgroup.long 0x9D0++0x03 line.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" rgroup.long 0x9D4++0x03 line.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" rgroup.long 0x9D8++0x03 line.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" rgroup.long 0x9DC++0x03 line.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) group.long 0x9E0++0x03 line.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO483 ,CPU Targets Byte Offset 483 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO482 ,CPU Targets Byte Offset 482 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO481 ,CPU Targets Byte Offset 481 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO480 ,CPU Targets Byte Offset 480 " group.long 0x9E4++0x03 line.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO487 ,CPU Targets Byte Offset 487 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO486 ,CPU Targets Byte Offset 486 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO485 ,CPU Targets Byte Offset 485 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO484 ,CPU Targets Byte Offset 484 " group.long 0x9E8++0x03 line.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO491 ,CPU Targets Byte Offset 491 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO490 ,CPU Targets Byte Offset 490 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO489 ,CPU Targets Byte Offset 489 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO488 ,CPU Targets Byte Offset 488 " group.long 0x9EC++0x03 line.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO495 ,CPU Targets Byte Offset 495 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO494 ,CPU Targets Byte Offset 494 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO493 ,CPU Targets Byte Offset 493 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO492 ,CPU Targets Byte Offset 492 " group.long 0x9F0++0x03 line.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO499 ,CPU Targets Byte Offset 499 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO498 ,CPU Targets Byte Offset 498 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO497 ,CPU Targets Byte Offset 497 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO496 ,CPU Targets Byte Offset 496 " group.long 0x9F4++0x03 line.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO503 ,CPU Targets Byte Offset 503 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO502 ,CPU Targets Byte Offset 502 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO501 ,CPU Targets Byte Offset 501 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO500 ,CPU Targets Byte Offset 500 " group.long 0x9F8++0x03 line.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO507 ,CPU Targets Byte Offset 507 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO506 ,CPU Targets Byte Offset 506 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO505 ,CPU Targets Byte Offset 505 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO504 ,CPU Targets Byte Offset 504 " group.long 0x9FC++0x03 line.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO511 ,CPU Targets Byte Offset 511 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO510 ,CPU Targets Byte Offset 510 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO509 ,CPU Targets Byte Offset 509 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO508 ,CPU Targets Byte Offset 508 " else rgroup.long 0x9E0++0x03 line.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" rgroup.long 0x9E4++0x03 line.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" rgroup.long 0x9E8++0x03 line.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" rgroup.long 0x9EC++0x03 line.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" rgroup.long 0x9F0++0x03 line.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" rgroup.long 0x9F4++0x03 line.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" rgroup.long 0x9F8++0x03 line.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" rgroup.long 0x9FC++0x03 line.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0xA00++0x03 line.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO515 ,CPU Targets Byte Offset 515 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO514 ,CPU Targets Byte Offset 514 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO513 ,CPU Targets Byte Offset 513 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO512 ,CPU Targets Byte Offset 512 " group.long 0xA04++0x03 line.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO519 ,CPU Targets Byte Offset 519 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO518 ,CPU Targets Byte Offset 518 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO517 ,CPU Targets Byte Offset 517 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO516 ,CPU Targets Byte Offset 516 " group.long 0xA08++0x03 line.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO523 ,CPU Targets Byte Offset 523 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO522 ,CPU Targets Byte Offset 522 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO521 ,CPU Targets Byte Offset 521 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO520 ,CPU Targets Byte Offset 520 " group.long 0xA0C++0x03 line.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO527 ,CPU Targets Byte Offset 527 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO526 ,CPU Targets Byte Offset 526 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO525 ,CPU Targets Byte Offset 525 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO524 ,CPU Targets Byte Offset 524 " group.long 0xA10++0x03 line.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO531 ,CPU Targets Byte Offset 531 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO530 ,CPU Targets Byte Offset 530 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO529 ,CPU Targets Byte Offset 529 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO528 ,CPU Targets Byte Offset 528 " group.long 0xA14++0x03 line.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO535 ,CPU Targets Byte Offset 535 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO534 ,CPU Targets Byte Offset 534 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO533 ,CPU Targets Byte Offset 533 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO532 ,CPU Targets Byte Offset 532 " group.long 0xA18++0x03 line.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO539 ,CPU Targets Byte Offset 539 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO538 ,CPU Targets Byte Offset 538 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO537 ,CPU Targets Byte Offset 537 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO536 ,CPU Targets Byte Offset 536 " group.long 0xA1C++0x03 line.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO543 ,CPU Targets Byte Offset 543 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO542 ,CPU Targets Byte Offset 542 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO541 ,CPU Targets Byte Offset 541 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO540 ,CPU Targets Byte Offset 540 " else rgroup.long 0xA00++0x03 line.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" rgroup.long 0xA04++0x03 line.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" rgroup.long 0xA08++0x03 line.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" rgroup.long 0xA0C++0x03 line.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" rgroup.long 0xA10++0x03 line.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" rgroup.long 0xA14++0x03 line.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" rgroup.long 0xA18++0x03 line.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" rgroup.long 0xA1C++0x03 line.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0xA20++0x03 line.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO547 ,CPU Targets Byte Offset 547 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO546 ,CPU Targets Byte Offset 546 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO545 ,CPU Targets Byte Offset 545 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO544 ,CPU Targets Byte Offset 544 " group.long 0xA24++0x03 line.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO551 ,CPU Targets Byte Offset 551 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO550 ,CPU Targets Byte Offset 550 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO549 ,CPU Targets Byte Offset 549 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO548 ,CPU Targets Byte Offset 548 " group.long 0xA28++0x03 line.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO555 ,CPU Targets Byte Offset 555 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO554 ,CPU Targets Byte Offset 554 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO553 ,CPU Targets Byte Offset 553 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO552 ,CPU Targets Byte Offset 552 " group.long 0xA2C++0x03 line.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO559 ,CPU Targets Byte Offset 559 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO558 ,CPU Targets Byte Offset 558 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO557 ,CPU Targets Byte Offset 557 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO556 ,CPU Targets Byte Offset 556 " group.long 0xA30++0x03 line.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO563 ,CPU Targets Byte Offset 563 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO562 ,CPU Targets Byte Offset 562 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO561 ,CPU Targets Byte Offset 561 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO560 ,CPU Targets Byte Offset 560 " group.long 0xA34++0x03 line.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO567 ,CPU Targets Byte Offset 567 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO566 ,CPU Targets Byte Offset 566 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO565 ,CPU Targets Byte Offset 565 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO564 ,CPU Targets Byte Offset 564 " group.long 0xA38++0x03 line.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO571 ,CPU Targets Byte Offset 571 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO570 ,CPU Targets Byte Offset 570 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO569 ,CPU Targets Byte Offset 569 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO568 ,CPU Targets Byte Offset 568 " group.long 0xA3C++0x03 line.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO575 ,CPU Targets Byte Offset 575 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO574 ,CPU Targets Byte Offset 574 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO573 ,CPU Targets Byte Offset 573 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO572 ,CPU Targets Byte Offset 572 " else rgroup.long 0xA20++0x03 line.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" rgroup.long 0xA24++0x03 line.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" rgroup.long 0xA28++0x03 line.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" rgroup.long 0xA2C++0x03 line.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" rgroup.long 0xA30++0x03 line.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" rgroup.long 0xA34++0x03 line.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" rgroup.long 0xA38++0x03 line.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" rgroup.long 0xA3C++0x03 line.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0xA40++0x03 line.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO579 ,CPU Targets Byte Offset 579 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO578 ,CPU Targets Byte Offset 578 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO577 ,CPU Targets Byte Offset 577 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO576 ,CPU Targets Byte Offset 576 " group.long 0xA44++0x03 line.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO583 ,CPU Targets Byte Offset 583 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO582 ,CPU Targets Byte Offset 582 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO581 ,CPU Targets Byte Offset 581 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO580 ,CPU Targets Byte Offset 580 " group.long 0xA48++0x03 line.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO587 ,CPU Targets Byte Offset 587 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO586 ,CPU Targets Byte Offset 586 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO585 ,CPU Targets Byte Offset 585 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO584 ,CPU Targets Byte Offset 584 " group.long 0xA4C++0x03 line.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO591 ,CPU Targets Byte Offset 591 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO590 ,CPU Targets Byte Offset 590 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO589 ,CPU Targets Byte Offset 589 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO588 ,CPU Targets Byte Offset 588 " group.long 0xA50++0x03 line.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO595 ,CPU Targets Byte Offset 595 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO594 ,CPU Targets Byte Offset 594 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO593 ,CPU Targets Byte Offset 593 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO592 ,CPU Targets Byte Offset 592 " group.long 0xA54++0x03 line.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO599 ,CPU Targets Byte Offset 599 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO598 ,CPU Targets Byte Offset 598 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO597 ,CPU Targets Byte Offset 597 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO596 ,CPU Targets Byte Offset 596 " group.long 0xA58++0x03 line.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO603 ,CPU Targets Byte Offset 603 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO602 ,CPU Targets Byte Offset 602 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO601 ,CPU Targets Byte Offset 601 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO600 ,CPU Targets Byte Offset 600 " group.long 0xA5C++0x03 line.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO607 ,CPU Targets Byte Offset 607 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO606 ,CPU Targets Byte Offset 606 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO605 ,CPU Targets Byte Offset 605 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO604 ,CPU Targets Byte Offset 604 " else rgroup.long 0xA40++0x03 line.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" rgroup.long 0xA44++0x03 line.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" rgroup.long 0xA48++0x03 line.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" rgroup.long 0xA4C++0x03 line.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" rgroup.long 0xA50++0x03 line.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" rgroup.long 0xA54++0x03 line.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" rgroup.long 0xA58++0x03 line.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" rgroup.long 0xA5C++0x03 line.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0xA60++0x03 line.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO611 ,CPU Targets Byte Offset 611 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO610 ,CPU Targets Byte Offset 610 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO609 ,CPU Targets Byte Offset 609 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO608 ,CPU Targets Byte Offset 608 " group.long 0xA64++0x03 line.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO615 ,CPU Targets Byte Offset 615 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO614 ,CPU Targets Byte Offset 614 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO613 ,CPU Targets Byte Offset 613 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO612 ,CPU Targets Byte Offset 612 " group.long 0xA68++0x03 line.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO619 ,CPU Targets Byte Offset 619 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO618 ,CPU Targets Byte Offset 618 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO617 ,CPU Targets Byte Offset 617 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO616 ,CPU Targets Byte Offset 616 " group.long 0xA6C++0x03 line.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO623 ,CPU Targets Byte Offset 623 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO622 ,CPU Targets Byte Offset 622 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO621 ,CPU Targets Byte Offset 621 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO620 ,CPU Targets Byte Offset 620 " group.long 0xA70++0x03 line.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO627 ,CPU Targets Byte Offset 627 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO626 ,CPU Targets Byte Offset 626 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO625 ,CPU Targets Byte Offset 625 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO624 ,CPU Targets Byte Offset 624 " group.long 0xA74++0x03 line.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO631 ,CPU Targets Byte Offset 631 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO630 ,CPU Targets Byte Offset 630 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO629 ,CPU Targets Byte Offset 629 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO628 ,CPU Targets Byte Offset 628 " group.long 0xA78++0x03 line.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO635 ,CPU Targets Byte Offset 635 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO634 ,CPU Targets Byte Offset 634 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO633 ,CPU Targets Byte Offset 633 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO632 ,CPU Targets Byte Offset 632 " group.long 0xA7C++0x03 line.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO639 ,CPU Targets Byte Offset 639 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO638 ,CPU Targets Byte Offset 638 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO637 ,CPU Targets Byte Offset 637 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO636 ,CPU Targets Byte Offset 636 " else rgroup.long 0xA60++0x03 line.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" rgroup.long 0xA64++0x03 line.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" rgroup.long 0xA68++0x03 line.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" rgroup.long 0xA6C++0x03 line.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" rgroup.long 0xA70++0x03 line.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" rgroup.long 0xA74++0x03 line.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" rgroup.long 0xA78++0x03 line.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" rgroup.long 0xA7C++0x03 line.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0xA80++0x03 line.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO643 ,CPU Targets Byte Offset 643 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO642 ,CPU Targets Byte Offset 642 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO641 ,CPU Targets Byte Offset 641 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO640 ,CPU Targets Byte Offset 640 " group.long 0xA84++0x03 line.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO647 ,CPU Targets Byte Offset 647 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO646 ,CPU Targets Byte Offset 646 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO645 ,CPU Targets Byte Offset 645 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO644 ,CPU Targets Byte Offset 644 " group.long 0xA88++0x03 line.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO651 ,CPU Targets Byte Offset 651 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO650 ,CPU Targets Byte Offset 650 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO649 ,CPU Targets Byte Offset 649 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO648 ,CPU Targets Byte Offset 648 " group.long 0xA8C++0x03 line.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO655 ,CPU Targets Byte Offset 655 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO654 ,CPU Targets Byte Offset 654 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO653 ,CPU Targets Byte Offset 653 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO652 ,CPU Targets Byte Offset 652 " group.long 0xA90++0x03 line.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO659 ,CPU Targets Byte Offset 659 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO658 ,CPU Targets Byte Offset 658 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO657 ,CPU Targets Byte Offset 657 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO656 ,CPU Targets Byte Offset 656 " group.long 0xA94++0x03 line.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO663 ,CPU Targets Byte Offset 663 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO662 ,CPU Targets Byte Offset 662 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO661 ,CPU Targets Byte Offset 661 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO660 ,CPU Targets Byte Offset 660 " group.long 0xA98++0x03 line.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO667 ,CPU Targets Byte Offset 667 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO666 ,CPU Targets Byte Offset 666 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO665 ,CPU Targets Byte Offset 665 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO664 ,CPU Targets Byte Offset 664 " group.long 0xA9C++0x03 line.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO671 ,CPU Targets Byte Offset 671 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO670 ,CPU Targets Byte Offset 670 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO669 ,CPU Targets Byte Offset 669 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO668 ,CPU Targets Byte Offset 668 " else rgroup.long 0xA80++0x03 line.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" rgroup.long 0xA84++0x03 line.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" rgroup.long 0xA88++0x03 line.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" rgroup.long 0xA8C++0x03 line.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" rgroup.long 0xA90++0x03 line.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" rgroup.long 0xA94++0x03 line.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" rgroup.long 0xA98++0x03 line.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" rgroup.long 0xA9C++0x03 line.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0xAA0++0x03 line.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO675 ,CPU Targets Byte Offset 675 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO674 ,CPU Targets Byte Offset 674 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO673 ,CPU Targets Byte Offset 673 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO672 ,CPU Targets Byte Offset 672 " group.long 0xAA4++0x03 line.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO679 ,CPU Targets Byte Offset 679 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO678 ,CPU Targets Byte Offset 678 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO677 ,CPU Targets Byte Offset 677 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO676 ,CPU Targets Byte Offset 676 " group.long 0xAA8++0x03 line.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO683 ,CPU Targets Byte Offset 683 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO682 ,CPU Targets Byte Offset 682 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO681 ,CPU Targets Byte Offset 681 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO680 ,CPU Targets Byte Offset 680 " group.long 0xAAC++0x03 line.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO687 ,CPU Targets Byte Offset 687 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO686 ,CPU Targets Byte Offset 686 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO685 ,CPU Targets Byte Offset 685 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO684 ,CPU Targets Byte Offset 684 " group.long 0xAB0++0x03 line.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO691 ,CPU Targets Byte Offset 691 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO690 ,CPU Targets Byte Offset 690 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO689 ,CPU Targets Byte Offset 689 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO688 ,CPU Targets Byte Offset 688 " group.long 0xAB4++0x03 line.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO695 ,CPU Targets Byte Offset 695 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO694 ,CPU Targets Byte Offset 694 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO693 ,CPU Targets Byte Offset 693 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO692 ,CPU Targets Byte Offset 692 " group.long 0xAB8++0x03 line.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO699 ,CPU Targets Byte Offset 699 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO698 ,CPU Targets Byte Offset 698 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO697 ,CPU Targets Byte Offset 697 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO696 ,CPU Targets Byte Offset 696 " group.long 0xABC++0x03 line.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO703 ,CPU Targets Byte Offset 703 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO702 ,CPU Targets Byte Offset 702 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO701 ,CPU Targets Byte Offset 701 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO700 ,CPU Targets Byte Offset 700 " else rgroup.long 0xAA0++0x03 line.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" rgroup.long 0xAA4++0x03 line.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" rgroup.long 0xAA8++0x03 line.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" rgroup.long 0xAAC++0x03 line.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" rgroup.long 0xAB0++0x03 line.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" rgroup.long 0xAB4++0x03 line.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" rgroup.long 0xAB8++0x03 line.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" rgroup.long 0xABC++0x03 line.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0xAC0++0x03 line.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO707 ,CPU Targets Byte Offset 707 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO706 ,CPU Targets Byte Offset 706 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO705 ,CPU Targets Byte Offset 705 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO704 ,CPU Targets Byte Offset 704 " group.long 0xAC4++0x03 line.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO711 ,CPU Targets Byte Offset 711 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO710 ,CPU Targets Byte Offset 710 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO709 ,CPU Targets Byte Offset 709 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO708 ,CPU Targets Byte Offset 708 " group.long 0xAC8++0x03 line.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO715 ,CPU Targets Byte Offset 715 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO714 ,CPU Targets Byte Offset 714 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO713 ,CPU Targets Byte Offset 713 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO712 ,CPU Targets Byte Offset 712 " group.long 0xACC++0x03 line.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO719 ,CPU Targets Byte Offset 719 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO718 ,CPU Targets Byte Offset 718 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO717 ,CPU Targets Byte Offset 717 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO716 ,CPU Targets Byte Offset 716 " group.long 0xAD0++0x03 line.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO723 ,CPU Targets Byte Offset 723 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO722 ,CPU Targets Byte Offset 722 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO721 ,CPU Targets Byte Offset 721 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO720 ,CPU Targets Byte Offset 720 " group.long 0xAD4++0x03 line.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO727 ,CPU Targets Byte Offset 727 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO726 ,CPU Targets Byte Offset 726 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO725 ,CPU Targets Byte Offset 725 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO724 ,CPU Targets Byte Offset 724 " group.long 0xAD8++0x03 line.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO731 ,CPU Targets Byte Offset 731 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO730 ,CPU Targets Byte Offset 730 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO729 ,CPU Targets Byte Offset 729 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO728 ,CPU Targets Byte Offset 728 " group.long 0xADC++0x03 line.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO735 ,CPU Targets Byte Offset 735 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO734 ,CPU Targets Byte Offset 734 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO733 ,CPU Targets Byte Offset 733 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO732 ,CPU Targets Byte Offset 732 " else rgroup.long 0xAC0++0x03 line.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" rgroup.long 0xAC4++0x03 line.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" rgroup.long 0xAC8++0x03 line.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" rgroup.long 0xACC++0x03 line.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" rgroup.long 0xAD0++0x03 line.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" rgroup.long 0xAD4++0x03 line.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" rgroup.long 0xAD8++0x03 line.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" rgroup.long 0xADC++0x03 line.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0xAE0++0x03 line.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO739 ,CPU Targets Byte Offset 739 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO738 ,CPU Targets Byte Offset 738 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO737 ,CPU Targets Byte Offset 737 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO736 ,CPU Targets Byte Offset 736 " group.long 0xAE4++0x03 line.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO743 ,CPU Targets Byte Offset 743 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO742 ,CPU Targets Byte Offset 742 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO741 ,CPU Targets Byte Offset 741 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO740 ,CPU Targets Byte Offset 740 " group.long 0xAE8++0x03 line.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO747 ,CPU Targets Byte Offset 747 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO746 ,CPU Targets Byte Offset 746 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO745 ,CPU Targets Byte Offset 745 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO744 ,CPU Targets Byte Offset 744 " group.long 0xAEC++0x03 line.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO751 ,CPU Targets Byte Offset 751 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO750 ,CPU Targets Byte Offset 750 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO749 ,CPU Targets Byte Offset 749 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO748 ,CPU Targets Byte Offset 748 " group.long 0xAF0++0x03 line.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO755 ,CPU Targets Byte Offset 755 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO754 ,CPU Targets Byte Offset 754 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO753 ,CPU Targets Byte Offset 753 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO752 ,CPU Targets Byte Offset 752 " group.long 0xAF4++0x03 line.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO759 ,CPU Targets Byte Offset 759 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO758 ,CPU Targets Byte Offset 758 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO757 ,CPU Targets Byte Offset 757 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO756 ,CPU Targets Byte Offset 756 " group.long 0xAF8++0x03 line.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO763 ,CPU Targets Byte Offset 763 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO762 ,CPU Targets Byte Offset 762 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO761 ,CPU Targets Byte Offset 761 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO760 ,CPU Targets Byte Offset 760 " group.long 0xAFC++0x03 line.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO767 ,CPU Targets Byte Offset 767 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO766 ,CPU Targets Byte Offset 766 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO765 ,CPU Targets Byte Offset 765 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO764 ,CPU Targets Byte Offset 764 " else rgroup.long 0xAE0++0x03 line.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" rgroup.long 0xAE4++0x03 line.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" rgroup.long 0xAE8++0x03 line.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" rgroup.long 0xAEC++0x03 line.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" rgroup.long 0xAF0++0x03 line.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" rgroup.long 0xAF4++0x03 line.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" rgroup.long 0xAF8++0x03 line.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" rgroup.long 0xAFC++0x03 line.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0xB00++0x03 line.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO771 ,CPU Targets Byte Offset 771 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO770 ,CPU Targets Byte Offset 770 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO769 ,CPU Targets Byte Offset 769 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO768 ,CPU Targets Byte Offset 768 " group.long 0xB04++0x03 line.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO775 ,CPU Targets Byte Offset 775 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO774 ,CPU Targets Byte Offset 774 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO773 ,CPU Targets Byte Offset 773 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO772 ,CPU Targets Byte Offset 772 " group.long 0xB08++0x03 line.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO779 ,CPU Targets Byte Offset 779 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO778 ,CPU Targets Byte Offset 778 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO777 ,CPU Targets Byte Offset 777 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO776 ,CPU Targets Byte Offset 776 " group.long 0xB0C++0x03 line.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO783 ,CPU Targets Byte Offset 783 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO782 ,CPU Targets Byte Offset 782 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO781 ,CPU Targets Byte Offset 781 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO780 ,CPU Targets Byte Offset 780 " group.long 0xB10++0x03 line.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO787 ,CPU Targets Byte Offset 787 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO786 ,CPU Targets Byte Offset 786 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO785 ,CPU Targets Byte Offset 785 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO784 ,CPU Targets Byte Offset 784 " group.long 0xB14++0x03 line.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO791 ,CPU Targets Byte Offset 791 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO790 ,CPU Targets Byte Offset 790 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO789 ,CPU Targets Byte Offset 789 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO788 ,CPU Targets Byte Offset 788 " group.long 0xB18++0x03 line.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO795 ,CPU Targets Byte Offset 795 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO794 ,CPU Targets Byte Offset 794 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO793 ,CPU Targets Byte Offset 793 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO792 ,CPU Targets Byte Offset 792 " group.long 0xB1C++0x03 line.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO799 ,CPU Targets Byte Offset 799 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO798 ,CPU Targets Byte Offset 798 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO797 ,CPU Targets Byte Offset 797 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO796 ,CPU Targets Byte Offset 796 " else rgroup.long 0xB00++0x03 line.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" rgroup.long 0xB04++0x03 line.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" rgroup.long 0xB08++0x03 line.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" rgroup.long 0xB0C++0x03 line.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" rgroup.long 0xB10++0x03 line.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" rgroup.long 0xB14++0x03 line.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" rgroup.long 0xB18++0x03 line.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" rgroup.long 0xB1C++0x03 line.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0xB20++0x03 line.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO803 ,CPU Targets Byte Offset 803 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO802 ,CPU Targets Byte Offset 802 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO801 ,CPU Targets Byte Offset 801 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO800 ,CPU Targets Byte Offset 800 " group.long 0xB24++0x03 line.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO807 ,CPU Targets Byte Offset 807 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO806 ,CPU Targets Byte Offset 806 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO805 ,CPU Targets Byte Offset 805 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO804 ,CPU Targets Byte Offset 804 " group.long 0xB28++0x03 line.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO811 ,CPU Targets Byte Offset 811 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO810 ,CPU Targets Byte Offset 810 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO809 ,CPU Targets Byte Offset 809 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO808 ,CPU Targets Byte Offset 808 " group.long 0xB2C++0x03 line.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO815 ,CPU Targets Byte Offset 815 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO814 ,CPU Targets Byte Offset 814 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO813 ,CPU Targets Byte Offset 813 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO812 ,CPU Targets Byte Offset 812 " group.long 0xB30++0x03 line.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO819 ,CPU Targets Byte Offset 819 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO818 ,CPU Targets Byte Offset 818 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO817 ,CPU Targets Byte Offset 817 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO816 ,CPU Targets Byte Offset 816 " group.long 0xB34++0x03 line.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO823 ,CPU Targets Byte Offset 823 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO822 ,CPU Targets Byte Offset 822 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO821 ,CPU Targets Byte Offset 821 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO820 ,CPU Targets Byte Offset 820 " group.long 0xB38++0x03 line.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO827 ,CPU Targets Byte Offset 827 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO826 ,CPU Targets Byte Offset 826 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO825 ,CPU Targets Byte Offset 825 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO824 ,CPU Targets Byte Offset 824 " group.long 0xB3C++0x03 line.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO831 ,CPU Targets Byte Offset 831 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO830 ,CPU Targets Byte Offset 830 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO829 ,CPU Targets Byte Offset 829 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO828 ,CPU Targets Byte Offset 828 " else rgroup.long 0xB20++0x03 line.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" rgroup.long 0xB24++0x03 line.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" rgroup.long 0xB28++0x03 line.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" rgroup.long 0xB2C++0x03 line.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" rgroup.long 0xB30++0x03 line.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" rgroup.long 0xB34++0x03 line.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" rgroup.long 0xB38++0x03 line.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" rgroup.long 0xB3C++0x03 line.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0xB40++0x03 line.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO835 ,CPU Targets Byte Offset 835 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO834 ,CPU Targets Byte Offset 834 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO833 ,CPU Targets Byte Offset 833 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO832 ,CPU Targets Byte Offset 832 " group.long 0xB44++0x03 line.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO839 ,CPU Targets Byte Offset 839 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO838 ,CPU Targets Byte Offset 838 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO837 ,CPU Targets Byte Offset 837 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO836 ,CPU Targets Byte Offset 836 " group.long 0xB48++0x03 line.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO843 ,CPU Targets Byte Offset 843 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO842 ,CPU Targets Byte Offset 842 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO841 ,CPU Targets Byte Offset 841 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO840 ,CPU Targets Byte Offset 840 " group.long 0xB4C++0x03 line.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO847 ,CPU Targets Byte Offset 847 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO846 ,CPU Targets Byte Offset 846 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO845 ,CPU Targets Byte Offset 845 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO844 ,CPU Targets Byte Offset 844 " group.long 0xB50++0x03 line.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO851 ,CPU Targets Byte Offset 851 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO850 ,CPU Targets Byte Offset 850 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO849 ,CPU Targets Byte Offset 849 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO848 ,CPU Targets Byte Offset 848 " group.long 0xB54++0x03 line.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO855 ,CPU Targets Byte Offset 855 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO854 ,CPU Targets Byte Offset 854 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO853 ,CPU Targets Byte Offset 853 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO852 ,CPU Targets Byte Offset 852 " group.long 0xB58++0x03 line.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO859 ,CPU Targets Byte Offset 859 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO858 ,CPU Targets Byte Offset 858 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO857 ,CPU Targets Byte Offset 857 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO856 ,CPU Targets Byte Offset 856 " group.long 0xB5C++0x03 line.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO863 ,CPU Targets Byte Offset 863 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO862 ,CPU Targets Byte Offset 862 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO861 ,CPU Targets Byte Offset 861 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO860 ,CPU Targets Byte Offset 860 " else rgroup.long 0xB40++0x03 line.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" rgroup.long 0xB44++0x03 line.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" rgroup.long 0xB48++0x03 line.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" rgroup.long 0xB4C++0x03 line.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" rgroup.long 0xB50++0x03 line.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" rgroup.long 0xB54++0x03 line.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" rgroup.long 0xB58++0x03 line.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" rgroup.long 0xB5C++0x03 line.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0xB60++0x03 line.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO867 ,CPU Targets Byte Offset 867 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO866 ,CPU Targets Byte Offset 866 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO865 ,CPU Targets Byte Offset 865 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO864 ,CPU Targets Byte Offset 864 " group.long 0xB64++0x03 line.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO871 ,CPU Targets Byte Offset 871 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO870 ,CPU Targets Byte Offset 870 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO869 ,CPU Targets Byte Offset 869 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO868 ,CPU Targets Byte Offset 868 " group.long 0xB68++0x03 line.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO875 ,CPU Targets Byte Offset 875 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO874 ,CPU Targets Byte Offset 874 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO873 ,CPU Targets Byte Offset 873 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO872 ,CPU Targets Byte Offset 872 " group.long 0xB6C++0x03 line.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO879 ,CPU Targets Byte Offset 879 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO878 ,CPU Targets Byte Offset 878 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO877 ,CPU Targets Byte Offset 877 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO876 ,CPU Targets Byte Offset 876 " group.long 0xB70++0x03 line.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO883 ,CPU Targets Byte Offset 883 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO882 ,CPU Targets Byte Offset 882 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO881 ,CPU Targets Byte Offset 881 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO880 ,CPU Targets Byte Offset 880 " group.long 0xB74++0x03 line.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO887 ,CPU Targets Byte Offset 887 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO886 ,CPU Targets Byte Offset 886 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO885 ,CPU Targets Byte Offset 885 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO884 ,CPU Targets Byte Offset 884 " group.long 0xB78++0x03 line.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO891 ,CPU Targets Byte Offset 891 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO890 ,CPU Targets Byte Offset 890 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO889 ,CPU Targets Byte Offset 889 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO888 ,CPU Targets Byte Offset 888 " group.long 0xB7C++0x03 line.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO895 ,CPU Targets Byte Offset 895 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO894 ,CPU Targets Byte Offset 894 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO893 ,CPU Targets Byte Offset 893 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO892 ,CPU Targets Byte Offset 892 " else rgroup.long 0xB60++0x03 line.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" rgroup.long 0xB64++0x03 line.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" rgroup.long 0xB68++0x03 line.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" rgroup.long 0xB6C++0x03 line.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" rgroup.long 0xB70++0x03 line.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" rgroup.long 0xB74++0x03 line.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" rgroup.long 0xB78++0x03 line.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" rgroup.long 0xB7C++0x03 line.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0xB80++0x03 line.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO899 ,CPU Targets Byte Offset 899 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO898 ,CPU Targets Byte Offset 898 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO897 ,CPU Targets Byte Offset 897 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO896 ,CPU Targets Byte Offset 896 " group.long 0xB84++0x03 line.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO903 ,CPU Targets Byte Offset 903 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO902 ,CPU Targets Byte Offset 902 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO901 ,CPU Targets Byte Offset 901 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO900 ,CPU Targets Byte Offset 900 " group.long 0xB88++0x03 line.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO907 ,CPU Targets Byte Offset 907 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO906 ,CPU Targets Byte Offset 906 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO905 ,CPU Targets Byte Offset 905 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO904 ,CPU Targets Byte Offset 904 " group.long 0xB8C++0x03 line.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO911 ,CPU Targets Byte Offset 911 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO910 ,CPU Targets Byte Offset 910 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO909 ,CPU Targets Byte Offset 909 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO908 ,CPU Targets Byte Offset 908 " group.long 0xB90++0x03 line.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO915 ,CPU Targets Byte Offset 915 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO914 ,CPU Targets Byte Offset 914 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO913 ,CPU Targets Byte Offset 913 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO912 ,CPU Targets Byte Offset 912 " group.long 0xB94++0x03 line.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO919 ,CPU Targets Byte Offset 919 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO918 ,CPU Targets Byte Offset 918 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO917 ,CPU Targets Byte Offset 917 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO916 ,CPU Targets Byte Offset 916 " group.long 0xB98++0x03 line.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO923 ,CPU Targets Byte Offset 923 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO922 ,CPU Targets Byte Offset 922 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO921 ,CPU Targets Byte Offset 921 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO920 ,CPU Targets Byte Offset 920 " group.long 0xB9C++0x03 line.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO927 ,CPU Targets Byte Offset 927 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO926 ,CPU Targets Byte Offset 926 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO925 ,CPU Targets Byte Offset 925 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO924 ,CPU Targets Byte Offset 924 " else rgroup.long 0xB80++0x03 line.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" rgroup.long 0xB84++0x03 line.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" rgroup.long 0xB88++0x03 line.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" rgroup.long 0xB8C++0x03 line.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" rgroup.long 0xB90++0x03 line.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" rgroup.long 0xB94++0x03 line.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" rgroup.long 0xB98++0x03 line.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" rgroup.long 0xB9C++0x03 line.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0xBA0++0x03 line.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO931 ,CPU Targets Byte Offset 931 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO930 ,CPU Targets Byte Offset 930 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO929 ,CPU Targets Byte Offset 929 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO928 ,CPU Targets Byte Offset 928 " group.long 0xBA4++0x03 line.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO935 ,CPU Targets Byte Offset 935 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO934 ,CPU Targets Byte Offset 934 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO933 ,CPU Targets Byte Offset 933 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO932 ,CPU Targets Byte Offset 932 " group.long 0xBA8++0x03 line.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO939 ,CPU Targets Byte Offset 939 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO938 ,CPU Targets Byte Offset 938 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO937 ,CPU Targets Byte Offset 937 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO936 ,CPU Targets Byte Offset 936 " group.long 0xBAC++0x03 line.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO943 ,CPU Targets Byte Offset 943 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO942 ,CPU Targets Byte Offset 942 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO941 ,CPU Targets Byte Offset 941 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO940 ,CPU Targets Byte Offset 940 " group.long 0xBB0++0x03 line.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO947 ,CPU Targets Byte Offset 947 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO946 ,CPU Targets Byte Offset 946 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO945 ,CPU Targets Byte Offset 945 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO944 ,CPU Targets Byte Offset 944 " group.long 0xBB4++0x03 line.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO951 ,CPU Targets Byte Offset 951 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO950 ,CPU Targets Byte Offset 950 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO949 ,CPU Targets Byte Offset 949 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO948 ,CPU Targets Byte Offset 948 " group.long 0xBB8++0x03 line.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO955 ,CPU Targets Byte Offset 955 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO954 ,CPU Targets Byte Offset 954 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO953 ,CPU Targets Byte Offset 953 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO952 ,CPU Targets Byte Offset 952 " group.long 0xBBC++0x03 line.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO959 ,CPU Targets Byte Offset 959 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO958 ,CPU Targets Byte Offset 958 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO957 ,CPU Targets Byte Offset 957 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO956 ,CPU Targets Byte Offset 956 " else rgroup.long 0xBA0++0x03 line.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" rgroup.long 0xBA4++0x03 line.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" rgroup.long 0xBA8++0x03 line.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" rgroup.long 0xBAC++0x03 line.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" rgroup.long 0xBB0++0x03 line.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" rgroup.long 0xBB4++0x03 line.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" rgroup.long 0xBB8++0x03 line.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" rgroup.long 0xBBC++0x03 line.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0xBC0++0x03 line.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO963 ,CPU Targets Byte Offset 963 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO962 ,CPU Targets Byte Offset 962 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO961 ,CPU Targets Byte Offset 961 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO960 ,CPU Targets Byte Offset 960 " group.long 0xBC4++0x03 line.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO967 ,CPU Targets Byte Offset 967 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO966 ,CPU Targets Byte Offset 966 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO965 ,CPU Targets Byte Offset 965 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO964 ,CPU Targets Byte Offset 964 " group.long 0xBC8++0x03 line.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO971 ,CPU Targets Byte Offset 971 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO970 ,CPU Targets Byte Offset 970 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO969 ,CPU Targets Byte Offset 969 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO968 ,CPU Targets Byte Offset 968 " group.long 0xBCC++0x03 line.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO975 ,CPU Targets Byte Offset 975 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO974 ,CPU Targets Byte Offset 974 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO973 ,CPU Targets Byte Offset 973 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO972 ,CPU Targets Byte Offset 972 " group.long 0xBD0++0x03 line.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO979 ,CPU Targets Byte Offset 979 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO978 ,CPU Targets Byte Offset 978 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO977 ,CPU Targets Byte Offset 977 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO976 ,CPU Targets Byte Offset 976 " group.long 0xBD4++0x03 line.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO983 ,CPU Targets Byte Offset 983 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO982 ,CPU Targets Byte Offset 982 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO981 ,CPU Targets Byte Offset 981 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO980 ,CPU Targets Byte Offset 980 " group.long 0xBD8++0x03 line.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO987 ,CPU Targets Byte Offset 987 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO986 ,CPU Targets Byte Offset 986 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO985 ,CPU Targets Byte Offset 985 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO984 ,CPU Targets Byte Offset 984 " group.long 0xBDC++0x03 line.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO991 ,CPU Targets Byte Offset 991 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO990 ,CPU Targets Byte Offset 990 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO989 ,CPU Targets Byte Offset 989 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO988 ,CPU Targets Byte Offset 988 " else rgroup.long 0xBC0++0x03 line.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" rgroup.long 0xBC4++0x03 line.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" rgroup.long 0xBC8++0x03 line.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" rgroup.long 0xBCC++0x03 line.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" rgroup.long 0xBD0++0x03 line.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" rgroup.long 0xBD4++0x03 line.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" rgroup.long 0xBD8++0x03 line.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" rgroup.long 0xBDC++0x03 line.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1F) group.long 0xBE0++0x03 line.long 0x00 "GICD_ITARGETSR248,Interrupt Processor Targets Register 248" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO995 ,CPU Targets Byte Offset 995 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO994 ,CPU Targets Byte Offset 994 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO993 ,CPU Targets Byte Offset 993 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO992 ,CPU Targets Byte Offset 992 " group.long 0xBE4++0x03 line.long 0x00 "GICD_ITARGETSR249,Interrupt Processor Targets Register 249" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO999 ,CPU Targets Byte Offset 999 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO998 ,CPU Targets Byte Offset 998 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO997 ,CPU Targets Byte Offset 997 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO996 ,CPU Targets Byte Offset 996 " group.long 0xBE8++0x03 line.long 0x00 "GICD_ITARGETSR250,Interrupt Processor Targets Register 250" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO1003 ,CPU Targets Byte Offset 1003" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO1002 ,CPU Targets Byte Offset 1002" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1001 ,CPU Targets Byte Offset 1001" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO1000 ,CPU Targets Byte Offset 1000" group.long 0xBEC++0x03 line.long 0x00 "GICD_ITARGETSR251,Interrupt Processor Targets Register 251" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO1007 ,CPU Targets Byte Offset 1007" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO1006 ,CPU Targets Byte Offset 1006" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1005 ,CPU Targets Byte Offset 1005" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO1004 ,CPU Targets Byte Offset 1004" group.long 0xBF0++0x03 line.long 0x00 "GICD_ITARGETSR252,Interrupt Processor Targets Register 252" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO1011 ,CPU Targets Byte Offset 1011" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO1010 ,CPU Targets Byte Offset 1010" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1009 ,CPU Targets Byte Offset 1009" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO1008 ,CPU Targets Byte Offset 1008" group.long 0xBF4++0x03 line.long 0x00 "GICD_ITARGETSR253,Interrupt Processor Targets Register 253" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO1015 ,CPU Targets Byte Offset 1015" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO1014 ,CPU Targets Byte Offset 1014" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1013 ,CPU Targets Byte Offset 1013" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO1012 ,CPU Targets Byte Offset 1012" group.long 0xBF8++0x03 line.long 0x00 "GICD_ITARGETSR254,Interrupt Processor Targets Register 254" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO1019 ,CPU Targets Byte Offset 1019" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO1018 ,CPU Targets Byte Offset 1018" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1017 ,CPU Targets Byte Offset 1017" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO1016 ,CPU Targets Byte Offset 1016" else rgroup.long 0xBE0++0x03 line.long 0x00 "GICD_ITARGETSR248,Interrupt Processor Targets Register 248" rgroup.long 0xBE4++0x03 line.long 0x00 "GICD_ITARGETSR249,Interrupt Processor Targets Register 249" rgroup.long 0xBE8++0x03 line.long 0x00 "GICD_ITARGETSR250,Interrupt Processor Targets Register 250" rgroup.long 0xBEC++0x03 line.long 0x00 "GICD_ITARGETSR251,Interrupt Processor Targets Register 251" rgroup.long 0xBF0++0x03 line.long 0x00 "GICD_ITARGETSR252,Interrupt Processor Targets Register 252" rgroup.long 0xBF4++0x03 line.long 0x00 "GICD_ITARGETSR253,Interrupt Processor Targets Register 253" rgroup.long 0xBF8++0x03 line.long 0x00 "GICD_ITARGETSR254,Interrupt Processor Targets Register 254" endif else rgroup.long 0x800++0x03 line.long 0x00 "GICD_ITARGETSR0 ,Interrupt Processor Targets Register 0 " rgroup.long 0x804++0x03 line.long 0x00 "GICD_ITARGETSR1 ,Interrupt Processor Targets Register 1 " rgroup.long 0x808++0x03 line.long 0x00 "GICD_ITARGETSR2 ,Interrupt Processor Targets Register 2 " rgroup.long 0x80C++0x03 line.long 0x00 "GICD_ITARGETSR3 ,Interrupt Processor Targets Register 3 " rgroup.long 0x810++0x03 line.long 0x00 "GICD_ITARGETSR4 ,Interrupt Processor Targets Register 4 " rgroup.long 0x814++0x03 line.long 0x00 "GICD_ITARGETSR5 ,Interrupt Processor Targets Register 5 " rgroup.long 0x818++0x03 line.long 0x00 "GICD_ITARGETSR6 ,Interrupt Processor Targets Register 6 " rgroup.long 0x81C++0x03 line.long 0x00 "GICD_ITARGETSR7 ,Interrupt Processor Targets Register 7 " rgroup.long 0x820++0x03 line.long 0x00 "GICD_ITARGETSR8 ,Interrupt Processor Targets Register 8 " rgroup.long 0x824++0x03 line.long 0x00 "GICD_ITARGETSR9 ,Interrupt Processor Targets Register 9 " rgroup.long 0x828++0x03 line.long 0x00 "GICD_ITARGETSR10 ,Interrupt Processor Targets Register 10 " rgroup.long 0x82C++0x03 line.long 0x00 "GICD_ITARGETSR11 ,Interrupt Processor Targets Register 11 " rgroup.long 0x830++0x03 line.long 0x00 "GICD_ITARGETSR12 ,Interrupt Processor Targets Register 12 " rgroup.long 0x834++0x03 line.long 0x00 "GICD_ITARGETSR13 ,Interrupt Processor Targets Register 13 " rgroup.long 0x838++0x03 line.long 0x00 "GICD_ITARGETSR14 ,Interrupt Processor Targets Register 14 " rgroup.long 0x83C++0x03 line.long 0x00 "GICD_ITARGETSR15 ,Interrupt Processor Targets Register 15 " rgroup.long 0x840++0x03 line.long 0x00 "GICD_ITARGETSR16 ,Interrupt Processor Targets Register 16 " rgroup.long 0x844++0x03 line.long 0x00 "GICD_ITARGETSR17 ,Interrupt Processor Targets Register 17 " rgroup.long 0x848++0x03 line.long 0x00 "GICD_ITARGETSR18 ,Interrupt Processor Targets Register 18 " rgroup.long 0x84C++0x03 line.long 0x00 "GICD_ITARGETSR19 ,Interrupt Processor Targets Register 19 " rgroup.long 0x850++0x03 line.long 0x00 "GICD_ITARGETSR20 ,Interrupt Processor Targets Register 20 " rgroup.long 0x854++0x03 line.long 0x00 "GICD_ITARGETSR21 ,Interrupt Processor Targets Register 21 " rgroup.long 0x858++0x03 line.long 0x00 "GICD_ITARGETSR22 ,Interrupt Processor Targets Register 22 " rgroup.long 0x85C++0x03 line.long 0x00 "GICD_ITARGETSR23 ,Interrupt Processor Targets Register 23 " rgroup.long 0x860++0x03 line.long 0x00 "GICD_ITARGETSR24 ,Interrupt Processor Targets Register 24 " rgroup.long 0x864++0x03 line.long 0x00 "GICD_ITARGETSR25 ,Interrupt Processor Targets Register 25 " rgroup.long 0x868++0x03 line.long 0x00 "GICD_ITARGETSR26 ,Interrupt Processor Targets Register 26 " rgroup.long 0x86C++0x03 line.long 0x00 "GICD_ITARGETSR27 ,Interrupt Processor Targets Register 27 " rgroup.long 0x870++0x03 line.long 0x00 "GICD_ITARGETSR28 ,Interrupt Processor Targets Register 28 " rgroup.long 0x874++0x03 line.long 0x00 "GICD_ITARGETSR29 ,Interrupt Processor Targets Register 29 " rgroup.long 0x878++0x03 line.long 0x00 "GICD_ITARGETSR30 ,Interrupt Processor Targets Register 30 " rgroup.long 0x87C++0x03 line.long 0x00 "GICD_ITARGETSR31 ,Interrupt Processor Targets Register 31 " rgroup.long 0x880++0x03 line.long 0x00 "GICD_ITARGETSR32 ,Interrupt Processor Targets Register 32 " rgroup.long 0x884++0x03 line.long 0x00 "GICD_ITARGETSR33 ,Interrupt Processor Targets Register 33 " rgroup.long 0x888++0x03 line.long 0x00 "GICD_ITARGETSR34 ,Interrupt Processor Targets Register 34 " rgroup.long 0x88C++0x03 line.long 0x00 "GICD_ITARGETSR35 ,Interrupt Processor Targets Register 35 " rgroup.long 0x890++0x03 line.long 0x00 "GICD_ITARGETSR36 ,Interrupt Processor Targets Register 36 " rgroup.long 0x894++0x03 line.long 0x00 "GICD_ITARGETSR37 ,Interrupt Processor Targets Register 37 " rgroup.long 0x898++0x03 line.long 0x00 "GICD_ITARGETSR38 ,Interrupt Processor Targets Register 38 " rgroup.long 0x89C++0x03 line.long 0x00 "GICD_ITARGETSR39 ,Interrupt Processor Targets Register 39 " rgroup.long 0x8A0++0x03 line.long 0x00 "GICD_ITARGETSR40 ,Interrupt Processor Targets Register 40 " rgroup.long 0x8A4++0x03 line.long 0x00 "GICD_ITARGETSR41 ,Interrupt Processor Targets Register 41 " rgroup.long 0x8A8++0x03 line.long 0x00 "GICD_ITARGETSR42 ,Interrupt Processor Targets Register 42 " rgroup.long 0x8AC++0x03 line.long 0x00 "GICD_ITARGETSR43 ,Interrupt Processor Targets Register 43 " rgroup.long 0x8B0++0x03 line.long 0x00 "GICD_ITARGETSR44 ,Interrupt Processor Targets Register 44 " rgroup.long 0x8B4++0x03 line.long 0x00 "GICD_ITARGETSR45 ,Interrupt Processor Targets Register 45 " rgroup.long 0x8B8++0x03 line.long 0x00 "GICD_ITARGETSR46 ,Interrupt Processor Targets Register 46 " rgroup.long 0x8BC++0x03 line.long 0x00 "GICD_ITARGETSR47 ,Interrupt Processor Targets Register 47 " rgroup.long 0x8C0++0x03 line.long 0x00 "GICD_ITARGETSR48 ,Interrupt Processor Targets Register 48 " rgroup.long 0x8C4++0x03 line.long 0x00 "GICD_ITARGETSR49 ,Interrupt Processor Targets Register 49 " rgroup.long 0x8C8++0x03 line.long 0x00 "GICD_ITARGETSR50 ,Interrupt Processor Targets Register 50 " rgroup.long 0x8CC++0x03 line.long 0x00 "GICD_ITARGETSR51 ,Interrupt Processor Targets Register 51 " rgroup.long 0x8D0++0x03 line.long 0x00 "GICD_ITARGETSR52 ,Interrupt Processor Targets Register 52 " rgroup.long 0x8D4++0x03 line.long 0x00 "GICD_ITARGETSR53 ,Interrupt Processor Targets Register 53 " rgroup.long 0x8D8++0x03 line.long 0x00 "GICD_ITARGETSR54 ,Interrupt Processor Targets Register 54 " rgroup.long 0x8DC++0x03 line.long 0x00 "GICD_ITARGETSR55 ,Interrupt Processor Targets Register 55 " rgroup.long 0x8E0++0x03 line.long 0x00 "GICD_ITARGETSR56 ,Interrupt Processor Targets Register 56 " rgroup.long 0x8E4++0x03 line.long 0x00 "GICD_ITARGETSR57 ,Interrupt Processor Targets Register 57 " rgroup.long 0x8E8++0x03 line.long 0x00 "GICD_ITARGETSR58 ,Interrupt Processor Targets Register 58 " rgroup.long 0x8EC++0x03 line.long 0x00 "GICD_ITARGETSR59 ,Interrupt Processor Targets Register 59 " rgroup.long 0x8F0++0x03 line.long 0x00 "GICD_ITARGETSR60 ,Interrupt Processor Targets Register 60 " rgroup.long 0x8F4++0x03 line.long 0x00 "GICD_ITARGETSR61 ,Interrupt Processor Targets Register 61 " rgroup.long 0x8F8++0x03 line.long 0x00 "GICD_ITARGETSR62 ,Interrupt Processor Targets Register 62 " rgroup.long 0x8FC++0x03 line.long 0x00 "GICD_ITARGETSR63 ,Interrupt Processor Targets Register 63 " rgroup.long 0x900++0x03 line.long 0x00 "GICD_ITARGETSR64 ,Interrupt Processor Targets Register 64 " rgroup.long 0x904++0x03 line.long 0x00 "GICD_ITARGETSR65 ,Interrupt Processor Targets Register 65 " rgroup.long 0x908++0x03 line.long 0x00 "GICD_ITARGETSR66 ,Interrupt Processor Targets Register 66 " rgroup.long 0x90C++0x03 line.long 0x00 "GICD_ITARGETSR67 ,Interrupt Processor Targets Register 67 " rgroup.long 0x910++0x03 line.long 0x00 "GICD_ITARGETSR68 ,Interrupt Processor Targets Register 68 " rgroup.long 0x914++0x03 line.long 0x00 "GICD_ITARGETSR69 ,Interrupt Processor Targets Register 69 " rgroup.long 0x918++0x03 line.long 0x00 "GICD_ITARGETSR70 ,Interrupt Processor Targets Register 70 " rgroup.long 0x91C++0x03 line.long 0x00 "GICD_ITARGETSR71 ,Interrupt Processor Targets Register 71 " rgroup.long 0x920++0x03 line.long 0x00 "GICD_ITARGETSR72 ,Interrupt Processor Targets Register 72 " rgroup.long 0x924++0x03 line.long 0x00 "GICD_ITARGETSR73 ,Interrupt Processor Targets Register 73 " rgroup.long 0x928++0x03 line.long 0x00 "GICD_ITARGETSR74 ,Interrupt Processor Targets Register 74 " rgroup.long 0x92C++0x03 line.long 0x00 "GICD_ITARGETSR75 ,Interrupt Processor Targets Register 75 " rgroup.long 0x930++0x03 line.long 0x00 "GICD_ITARGETSR76 ,Interrupt Processor Targets Register 76 " rgroup.long 0x934++0x03 line.long 0x00 "GICD_ITARGETSR77 ,Interrupt Processor Targets Register 77 " rgroup.long 0x938++0x03 line.long 0x00 "GICD_ITARGETSR78 ,Interrupt Processor Targets Register 78 " rgroup.long 0x93C++0x03 line.long 0x00 "GICD_ITARGETSR79 ,Interrupt Processor Targets Register 79 " rgroup.long 0x940++0x03 line.long 0x00 "GICD_ITARGETSR80 ,Interrupt Processor Targets Register 80 " rgroup.long 0x944++0x03 line.long 0x00 "GICD_ITARGETSR81 ,Interrupt Processor Targets Register 81 " rgroup.long 0x948++0x03 line.long 0x00 "GICD_ITARGETSR82 ,Interrupt Processor Targets Register 82 " rgroup.long 0x94C++0x03 line.long 0x00 "GICD_ITARGETSR83 ,Interrupt Processor Targets Register 83 " rgroup.long 0x950++0x03 line.long 0x00 "GICD_ITARGETSR84 ,Interrupt Processor Targets Register 84 " rgroup.long 0x954++0x03 line.long 0x00 "GICD_ITARGETSR85 ,Interrupt Processor Targets Register 85 " rgroup.long 0x958++0x03 line.long 0x00 "GICD_ITARGETSR86 ,Interrupt Processor Targets Register 86 " rgroup.long 0x95C++0x03 line.long 0x00 "GICD_ITARGETSR87 ,Interrupt Processor Targets Register 87 " rgroup.long 0x960++0x03 line.long 0x00 "GICD_ITARGETSR88 ,Interrupt Processor Targets Register 88 " rgroup.long 0x964++0x03 line.long 0x00 "GICD_ITARGETSR89 ,Interrupt Processor Targets Register 89 " rgroup.long 0x968++0x03 line.long 0x00 "GICD_ITARGETSR90 ,Interrupt Processor Targets Register 90 " rgroup.long 0x96C++0x03 line.long 0x00 "GICD_ITARGETSR91 ,Interrupt Processor Targets Register 91 " rgroup.long 0x970++0x03 line.long 0x00 "GICD_ITARGETSR92 ,Interrupt Processor Targets Register 92 " rgroup.long 0x974++0x03 line.long 0x00 "GICD_ITARGETSR93 ,Interrupt Processor Targets Register 93 " rgroup.long 0x978++0x03 line.long 0x00 "GICD_ITARGETSR94 ,Interrupt Processor Targets Register 94 " rgroup.long 0x97C++0x03 line.long 0x00 "GICD_ITARGETSR95 ,Interrupt Processor Targets Register 95 " rgroup.long 0x980++0x03 line.long 0x00 "GICD_ITARGETSR96 ,Interrupt Processor Targets Register 96 " rgroup.long 0x984++0x03 line.long 0x00 "GICD_ITARGETSR97 ,Interrupt Processor Targets Register 97 " rgroup.long 0x988++0x03 line.long 0x00 "GICD_ITARGETSR98 ,Interrupt Processor Targets Register 98 " rgroup.long 0x98C++0x03 line.long 0x00 "GICD_ITARGETSR99 ,Interrupt Processor Targets Register 99 " rgroup.long 0x990++0x03 line.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" rgroup.long 0x994++0x03 line.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" rgroup.long 0x998++0x03 line.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" rgroup.long 0x99C++0x03 line.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" rgroup.long 0x9A0++0x03 line.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" rgroup.long 0x9A4++0x03 line.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" rgroup.long 0x9A8++0x03 line.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" rgroup.long 0x9AC++0x03 line.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" rgroup.long 0x9B0++0x03 line.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" rgroup.long 0x9B4++0x03 line.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" rgroup.long 0x9B8++0x03 line.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" rgroup.long 0x9BC++0x03 line.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" rgroup.long 0x9C0++0x03 line.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" rgroup.long 0x9C4++0x03 line.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" rgroup.long 0x9C8++0x03 line.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" rgroup.long 0x9CC++0x03 line.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" rgroup.long 0x9D0++0x03 line.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" rgroup.long 0x9D4++0x03 line.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" rgroup.long 0x9D8++0x03 line.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" rgroup.long 0x9DC++0x03 line.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" rgroup.long 0x9E0++0x03 line.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" rgroup.long 0x9E4++0x03 line.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" rgroup.long 0x9E8++0x03 line.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" rgroup.long 0x9EC++0x03 line.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" rgroup.long 0x9F0++0x03 line.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" rgroup.long 0x9F4++0x03 line.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" rgroup.long 0x9F8++0x03 line.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" rgroup.long 0x9FC++0x03 line.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" rgroup.long 0xA00++0x03 line.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" rgroup.long 0xA04++0x03 line.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" rgroup.long 0xA08++0x03 line.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" rgroup.long 0xA0C++0x03 line.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" rgroup.long 0xA10++0x03 line.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" rgroup.long 0xA14++0x03 line.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" rgroup.long 0xA18++0x03 line.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" rgroup.long 0xA1C++0x03 line.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" rgroup.long 0xA20++0x03 line.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" rgroup.long 0xA24++0x03 line.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" rgroup.long 0xA28++0x03 line.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" rgroup.long 0xA2C++0x03 line.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" rgroup.long 0xA30++0x03 line.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" rgroup.long 0xA34++0x03 line.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" rgroup.long 0xA38++0x03 line.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" rgroup.long 0xA3C++0x03 line.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" rgroup.long 0xA40++0x03 line.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" rgroup.long 0xA44++0x03 line.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" rgroup.long 0xA48++0x03 line.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" rgroup.long 0xA4C++0x03 line.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" rgroup.long 0xA50++0x03 line.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" rgroup.long 0xA54++0x03 line.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" rgroup.long 0xA58++0x03 line.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" rgroup.long 0xA5C++0x03 line.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" rgroup.long 0xA60++0x03 line.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" rgroup.long 0xA64++0x03 line.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" rgroup.long 0xA68++0x03 line.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" rgroup.long 0xA6C++0x03 line.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" rgroup.long 0xA70++0x03 line.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" rgroup.long 0xA74++0x03 line.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" rgroup.long 0xA78++0x03 line.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" rgroup.long 0xA7C++0x03 line.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" rgroup.long 0xA80++0x03 line.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" rgroup.long 0xA84++0x03 line.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" rgroup.long 0xA88++0x03 line.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" rgroup.long 0xA8C++0x03 line.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" rgroup.long 0xA90++0x03 line.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" rgroup.long 0xA94++0x03 line.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" rgroup.long 0xA98++0x03 line.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" rgroup.long 0xA9C++0x03 line.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" rgroup.long 0xAA0++0x03 line.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" rgroup.long 0xAA4++0x03 line.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" rgroup.long 0xAA8++0x03 line.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" rgroup.long 0xAAC++0x03 line.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" rgroup.long 0xAB0++0x03 line.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" rgroup.long 0xAB4++0x03 line.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" rgroup.long 0xAB8++0x03 line.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" rgroup.long 0xABC++0x03 line.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" rgroup.long 0xAC0++0x03 line.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" rgroup.long 0xAC4++0x03 line.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" rgroup.long 0xAC8++0x03 line.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" rgroup.long 0xACC++0x03 line.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" rgroup.long 0xAD0++0x03 line.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" rgroup.long 0xAD4++0x03 line.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" rgroup.long 0xAD8++0x03 line.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" rgroup.long 0xADC++0x03 line.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" rgroup.long 0xAE0++0x03 line.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" rgroup.long 0xAE4++0x03 line.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" rgroup.long 0xAE8++0x03 line.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" rgroup.long 0xAEC++0x03 line.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" rgroup.long 0xAF0++0x03 line.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" rgroup.long 0xAF4++0x03 line.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" rgroup.long 0xAF8++0x03 line.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" rgroup.long 0xAFC++0x03 line.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" rgroup.long 0xB00++0x03 line.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" rgroup.long 0xB04++0x03 line.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" rgroup.long 0xB08++0x03 line.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" rgroup.long 0xB0C++0x03 line.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" rgroup.long 0xB10++0x03 line.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" rgroup.long 0xB14++0x03 line.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" rgroup.long 0xB18++0x03 line.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" rgroup.long 0xB1C++0x03 line.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" rgroup.long 0xB20++0x03 line.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" rgroup.long 0xB24++0x03 line.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" rgroup.long 0xB28++0x03 line.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" rgroup.long 0xB2C++0x03 line.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" rgroup.long 0xB30++0x03 line.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" rgroup.long 0xB34++0x03 line.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" rgroup.long 0xB38++0x03 line.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" rgroup.long 0xB3C++0x03 line.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" rgroup.long 0xB40++0x03 line.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" rgroup.long 0xB44++0x03 line.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" rgroup.long 0xB48++0x03 line.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" rgroup.long 0xB4C++0x03 line.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" rgroup.long 0xB50++0x03 line.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" rgroup.long 0xB54++0x03 line.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" rgroup.long 0xB58++0x03 line.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" rgroup.long 0xB5C++0x03 line.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" rgroup.long 0xB60++0x03 line.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" rgroup.long 0xB64++0x03 line.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" rgroup.long 0xB68++0x03 line.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" rgroup.long 0xB6C++0x03 line.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" rgroup.long 0xB70++0x03 line.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" rgroup.long 0xB74++0x03 line.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" rgroup.long 0xB78++0x03 line.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" rgroup.long 0xB7C++0x03 line.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" rgroup.long 0xB80++0x03 line.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" rgroup.long 0xB84++0x03 line.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" rgroup.long 0xB88++0x03 line.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" rgroup.long 0xB8C++0x03 line.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" rgroup.long 0xB90++0x03 line.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" rgroup.long 0xB94++0x03 line.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" rgroup.long 0xB98++0x03 line.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" rgroup.long 0xB9C++0x03 line.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" rgroup.long 0xBA0++0x03 line.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" rgroup.long 0xBA4++0x03 line.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" rgroup.long 0xBA8++0x03 line.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" rgroup.long 0xBAC++0x03 line.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" rgroup.long 0xBB0++0x03 line.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" rgroup.long 0xBB4++0x03 line.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" rgroup.long 0xBB8++0x03 line.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" rgroup.long 0xBBC++0x03 line.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" rgroup.long 0xBC0++0x03 line.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" rgroup.long 0xBC4++0x03 line.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" rgroup.long 0xBC8++0x03 line.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" rgroup.long 0xBCC++0x03 line.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" rgroup.long 0xBD0++0x03 line.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" rgroup.long 0xBD4++0x03 line.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" rgroup.long 0xBD8++0x03 line.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" rgroup.long 0xBDC++0x03 line.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" rgroup.long 0xBE0++0x03 line.long 0x00 "GICD_ITARGETSR248,Interrupt Processor Targets Register 248" rgroup.long 0xBE4++0x03 line.long 0x00 "GICD_ITARGETSR249,Interrupt Processor Targets Register 249" rgroup.long 0xBE8++0x03 line.long 0x00 "GICD_ITARGETSR250,Interrupt Processor Targets Register 250" rgroup.long 0xBEC++0x03 line.long 0x00 "GICD_ITARGETSR251,Interrupt Processor Targets Register 251" rgroup.long 0xBF0++0x03 line.long 0x00 "GICD_ITARGETSR252,Interrupt Processor Targets Register 252" rgroup.long 0xBF4++0x03 line.long 0x00 "GICD_ITARGETSR253,Interrupt Processor Targets Register 253" rgroup.long 0xBF8++0x03 line.long 0x00 "GICD_ITARGETSR254,Interrupt Processor Targets Register 254" endif tree.end width 14. tree "Configuration Registers" rgroup.long 0xC00++0x03 line.long 0x00 "GICD_ICFGR0,Interrupt Configuration Register" textline " " rgroup.long 0xC04++0x03 line.long 0x00 "GICD_ICFGR1,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1) group.long 0xC08++0x03 line.long 0x00 "GICD_ICFGR2,Interrupt Configuration Register 2" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC0C++0x03 line.long 0x00 "GICD_ICFGR3,Interrupt Configuration Register 3" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC08++0x03 line.long 0x00 "GICD_ICFGR2,Interrupt Configuration Register 2" rgroup.long 0xC0C++0x03 line.long 0x00 "GICD_ICFGR3,Interrupt Configuration Register 3" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x2) group.long 0xC10++0x03 line.long 0x00 "GICD_ICFGR4,Interrupt Configuration Register 4" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC14++0x03 line.long 0x00 "GICD_ICFGR5,Interrupt Configuration Register 5" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC10++0x03 line.long 0x00 "GICD_ICFGR4,Interrupt Configuration Register 4" rgroup.long 0xC14++0x03 line.long 0x00 "GICD_ICFGR5,Interrupt Configuration Register 5" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x3) group.long 0xC18++0x03 line.long 0x00 "GICD_ICFGR6,Interrupt Configuration Register 6" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC1C++0x03 line.long 0x00 "GICD_ICFGR7,Interrupt Configuration Register 7" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC18++0x03 line.long 0x00 "GICD_ICFGR6,Interrupt Configuration Register 6" rgroup.long 0xC1C++0x03 line.long 0x00 "GICD_ICFGR7,Interrupt Configuration Register 7" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x4) group.long 0xC20++0x03 line.long 0x00 "GICD_ICFGR8,Interrupt Configuration Register 8" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC24++0x03 line.long 0x00 "GICD_ICFGR9,Interrupt Configuration Register 9" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC20++0x03 line.long 0x00 "GICD_ICFGR8,Interrupt Configuration Register 8" rgroup.long 0xC24++0x03 line.long 0x00 "GICD_ICFGR9,Interrupt Configuration Register 9" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x5) group.long 0xC28++0x03 line.long 0x00 "GICD_ICFGR10,Interrupt Configuration Register 10" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC2C++0x03 line.long 0x00 "GICD_ICFGR11,Interrupt Configuration Register 11" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC28++0x03 line.long 0x00 "GICD_ICFGR10,Interrupt Configuration Register 10" rgroup.long 0xC2C++0x03 line.long 0x00 "GICD_ICFGR11,Interrupt Configuration Register 11" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x6) group.long 0xC30++0x03 line.long 0x00 "GICD_ICFGR12,Interrupt Configuration Register 12" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC34++0x03 line.long 0x00 "GICD_ICFGR13,Interrupt Configuration Register 13" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC30++0x03 line.long 0x00 "GICD_ICFGR12,Interrupt Configuration Register 12" rgroup.long 0xC34++0x03 line.long 0x00 "GICD_ICFGR13,Interrupt Configuration Register 13" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x7) group.long 0xC38++0x03 line.long 0x00 "GICD_ICFGR14,Interrupt Configuration Register 14" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC3C++0x03 line.long 0x00 "GICD_ICFGR15,Interrupt Configuration Register 15" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC38++0x03 line.long 0x00 "GICD_ICFGR14,Interrupt Configuration Register 14" rgroup.long 0xC3C++0x03 line.long 0x00 "GICD_ICFGR15,Interrupt Configuration Register 15" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x8) group.long 0xC40++0x03 line.long 0x00 "GICD_ICFGR16,Interrupt Configuration Register 16" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC44++0x03 line.long 0x00 "GICD_ICFGR17,Interrupt Configuration Register 17" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC40++0x03 line.long 0x00 "GICD_ICFGR16,Interrupt Configuration Register 16" rgroup.long 0xC44++0x03 line.long 0x00 "GICD_ICFGR17,Interrupt Configuration Register 17" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x9) group.long 0xC48++0x03 line.long 0x00 "GICD_ICFGR18,Interrupt Configuration Register 18" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC4C++0x03 line.long 0x00 "GICD_ICFGR19,Interrupt Configuration Register 19" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC48++0x03 line.long 0x00 "GICD_ICFGR18,Interrupt Configuration Register 18" rgroup.long 0xC4C++0x03 line.long 0x00 "GICD_ICFGR19,Interrupt Configuration Register 19" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xA) group.long 0xC50++0x03 line.long 0x00 "GICD_ICFGR20,Interrupt Configuration Register 20" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC54++0x03 line.long 0x00 "GICD_ICFGR21,Interrupt Configuration Register 21" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC50++0x03 line.long 0x00 "GICD_ICFGR20,Interrupt Configuration Register 20" rgroup.long 0xC54++0x03 line.long 0x00 "GICD_ICFGR21,Interrupt Configuration Register 21" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xB) group.long 0xC58++0x03 line.long 0x00 "GICD_ICFGR22,Interrupt Configuration Register 22" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC5C++0x03 line.long 0x00 "GICD_ICFGR23,Interrupt Configuration Register 23" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC58++0x03 line.long 0x00 "GICD_ICFGR22,Interrupt Configuration Register 22" rgroup.long 0xC5C++0x03 line.long 0x00 "GICD_ICFGR23,Interrupt Configuration Register 23" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xC) group.long 0xC60++0x03 line.long 0x00 "GICD_ICFGR24,Interrupt Configuration Register 24" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC64++0x03 line.long 0x00 "GICD_ICFGR25,Interrupt Configuration Register 25" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC60++0x03 line.long 0x00 "GICD_ICFGR24,Interrupt Configuration Register 24" rgroup.long 0xC64++0x03 line.long 0x00 "GICD_ICFGR25,Interrupt Configuration Register 25" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xD) group.long 0xC68++0x03 line.long 0x00 "GICD_ICFGR26,Interrupt Configuration Register 26" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC6C++0x03 line.long 0x00 "GICD_ICFGR27,Interrupt Configuration Register 27" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC68++0x03 line.long 0x00 "GICD_ICFGR26,Interrupt Configuration Register 26" rgroup.long 0xC6C++0x03 line.long 0x00 "GICD_ICFGR27,Interrupt Configuration Register 27" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xE) group.long 0xC70++0x03 line.long 0x00 "GICD_ICFGR28,Interrupt Configuration Register 28" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC74++0x03 line.long 0x00 "GICD_ICFGR29,Interrupt Configuration Register 29" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC70++0x03 line.long 0x00 "GICD_ICFGR28,Interrupt Configuration Register 28" rgroup.long 0xC74++0x03 line.long 0x00 "GICD_ICFGR29,Interrupt Configuration Register 29" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0xF) group.long 0xC78++0x03 line.long 0x00 "GICD_ICFGR30,Interrupt Configuration Register 30" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC7C++0x03 line.long 0x00 "GICD_ICFGR31,Interrupt Configuration Register 31" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC78++0x03 line.long 0x00 "GICD_ICFGR30,Interrupt Configuration Register 30" rgroup.long 0xC7C++0x03 line.long 0x00 "GICD_ICFGR31,Interrupt Configuration Register 31" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) group.long 0xC80++0x03 line.long 0x00 "GICD_ICFGR32,Interrupt Configuration Register 32" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC84++0x03 line.long 0x00 "GICD_ICFGR33,Interrupt Configuration Register 33" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC80++0x03 line.long 0x00 "GICD_ICFGR32,Interrupt Configuration Register 32" rgroup.long 0xC84++0x03 line.long 0x00 "GICD_ICFGR33,Interrupt Configuration Register 33" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) group.long 0xC88++0x03 line.long 0x00 "GICD_ICFGR34,Interrupt Configuration Register 34" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC8C++0x03 line.long 0x00 "GICD_ICFGR35,Interrupt Configuration Register 35" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC88++0x03 line.long 0x00 "GICD_ICFGR34,Interrupt Configuration Register 34" rgroup.long 0xC8C++0x03 line.long 0x00 "GICD_ICFGR35,Interrupt Configuration Register 35" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) group.long 0xC90++0x03 line.long 0x00 "GICD_ICFGR36,Interrupt Configuration Register 36" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC94++0x03 line.long 0x00 "GICD_ICFGR37,Interrupt Configuration Register 37" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC90++0x03 line.long 0x00 "GICD_ICFGR36,Interrupt Configuration Register 36" rgroup.long 0xC94++0x03 line.long 0x00 "GICD_ICFGR37,Interrupt Configuration Register 37" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) group.long 0xC98++0x03 line.long 0x00 "GICD_ICFGR38,Interrupt Configuration Register 38" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xC9C++0x03 line.long 0x00 "GICD_ICFGR39,Interrupt Configuration Register 39" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xC98++0x03 line.long 0x00 "GICD_ICFGR38,Interrupt Configuration Register 38" rgroup.long 0xC9C++0x03 line.long 0x00 "GICD_ICFGR39,Interrupt Configuration Register 39" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) group.long 0xCA0++0x03 line.long 0x00 "GICD_ICFGR40,Interrupt Configuration Register 40" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCA4++0x03 line.long 0x00 "GICD_ICFGR41,Interrupt Configuration Register 41" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCA0++0x03 line.long 0x00 "GICD_ICFGR40,Interrupt Configuration Register 40" rgroup.long 0xCA4++0x03 line.long 0x00 "GICD_ICFGR41,Interrupt Configuration Register 41" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) group.long 0xCA8++0x03 line.long 0x00 "GICD_ICFGR42,Interrupt Configuration Register 42" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCAC++0x03 line.long 0x00 "GICD_ICFGR43,Interrupt Configuration Register 43" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCA8++0x03 line.long 0x00 "GICD_ICFGR42,Interrupt Configuration Register 42" rgroup.long 0xCAC++0x03 line.long 0x00 "GICD_ICFGR43,Interrupt Configuration Register 43" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) group.long 0xCB0++0x03 line.long 0x00 "GICD_ICFGR44,Interrupt Configuration Register 44" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCB4++0x03 line.long 0x00 "GICD_ICFGR45,Interrupt Configuration Register 45" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCB0++0x03 line.long 0x00 "GICD_ICFGR44,Interrupt Configuration Register 44" rgroup.long 0xCB4++0x03 line.long 0x00 "GICD_ICFGR45,Interrupt Configuration Register 45" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) group.long 0xCB8++0x03 line.long 0x00 "GICD_ICFGR46,Interrupt Configuration Register 46" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCBC++0x03 line.long 0x00 "GICD_ICFGR47,Interrupt Configuration Register 47" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCB8++0x03 line.long 0x00 "GICD_ICFGR46,Interrupt Configuration Register 46" rgroup.long 0xCBC++0x03 line.long 0x00 "GICD_ICFGR47,Interrupt Configuration Register 47" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) group.long 0xCC0++0x03 line.long 0x00 "GICD_ICFGR48,Interrupt Configuration Register 48" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCC4++0x03 line.long 0x00 "GICD_ICFGR49,Interrupt Configuration Register 49" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCC0++0x03 line.long 0x00 "GICD_ICFGR48,Interrupt Configuration Register 48" rgroup.long 0xCC4++0x03 line.long 0x00 "GICD_ICFGR49,Interrupt Configuration Register 49" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) group.long 0xCC8++0x03 line.long 0x00 "GICD_ICFGR50,Interrupt Configuration Register 50" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCCC++0x03 line.long 0x00 "GICD_ICFGR51,Interrupt Configuration Register 51" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCC8++0x03 line.long 0x00 "GICD_ICFGR50,Interrupt Configuration Register 50" rgroup.long 0xCCC++0x03 line.long 0x00 "GICD_ICFGR51,Interrupt Configuration Register 51" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) group.long 0xCD0++0x03 line.long 0x00 "GICD_ICFGR52,Interrupt Configuration Register 52" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCD4++0x03 line.long 0x00 "GICD_ICFGR53,Interrupt Configuration Register 53" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCD0++0x03 line.long 0x00 "GICD_ICFGR52,Interrupt Configuration Register 52" rgroup.long 0xCD4++0x03 line.long 0x00 "GICD_ICFGR53,Interrupt Configuration Register 53" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) group.long 0xCD8++0x03 line.long 0x00 "GICD_ICFGR54,Interrupt Configuration Register 54" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCDC++0x03 line.long 0x00 "GICD_ICFGR55,Interrupt Configuration Register 55" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCD8++0x03 line.long 0x00 "GICD_ICFGR54,Interrupt Configuration Register 54" rgroup.long 0xCDC++0x03 line.long 0x00 "GICD_ICFGR55,Interrupt Configuration Register 55" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) group.long 0xCE0++0x03 line.long 0x00 "GICD_ICFGR56,Interrupt Configuration Register 56" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCE4++0x03 line.long 0x00 "GICD_ICFGR57,Interrupt Configuration Register 57" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCE0++0x03 line.long 0x00 "GICD_ICFGR56,Interrupt Configuration Register 56" rgroup.long 0xCE4++0x03 line.long 0x00 "GICD_ICFGR57,Interrupt Configuration Register 57" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) group.long 0xCE8++0x03 line.long 0x00 "GICD_ICFGR58,Interrupt Configuration Register 58" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCEC++0x03 line.long 0x00 "GICD_ICFGR59,Interrupt Configuration Register 59" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCE8++0x03 line.long 0x00 "GICD_ICFGR58,Interrupt Configuration Register 58" rgroup.long 0xCEC++0x03 line.long 0x00 "GICD_ICFGR59,Interrupt Configuration Register 59" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) group.long 0xCF0++0x03 line.long 0x00 "GICD_ICFGR60,Interrupt Configuration Register 60" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCF4++0x03 line.long 0x00 "GICD_ICFGR61,Interrupt Configuration Register 61" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCF0++0x03 line.long 0x00 "GICD_ICFGR60,Interrupt Configuration Register 60" rgroup.long 0xCF4++0x03 line.long 0x00 "GICD_ICFGR61,Interrupt Configuration Register 61" endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1F) group.long 0xCF8++0x03 line.long 0x00 "GICD_ICFGR62,Interrupt Configuration Register 62" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" group.long 0xCFC++0x03 line.long 0x00 "GICD_ICFGR63,Interrupt Configuration Register 63" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Level,Edge" else rgroup.long 0xCF8++0x03 line.long 0x00 "GICD_ICFGR62,Interrupt Configuration Register 62" rgroup.long 0xCFC++0x03 line.long 0x00 "GICD_ICFGR63,Interrupt Configuration Register 63" endif tree.end width 12. tree "Peripheral Interrupt Status Registers" rgroup.long 0x0D00++0x03 line.long 0x00 "GICD_PPISR,Private Peripheral Interrupt Status Register" bitfld.long 0x00 15. " PPI3S ,nIRQ pin status" "No interrupt,Interrupt" bitfld.long 0x00 14. " PPI2S ,Non-secure Physical Timer event status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 13. " PPI1S ,Secure Physical Timer event status" "No interrupt,Interrupt" bitfld.long 0x00 12. " PPI0S ,nFIQ pin status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " PPI4S ,Virtual Timer event status" "No interrupt,Interrupt" bitfld.long 0x00 10. " PPI5S ,Hypervisor Timer event status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 9. " PPI6S ,Virtual Maintenance Status" "No interrupt,Interrupt" textline " " width 22. if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x01) rgroup.long 0x0D04++0x03 line.long 0x0 "GICD_SPISR0,Shared Peripheral Interrupt Status Register 0" bitfld.long 0x00 31. " IRQS31 ,IRQS Status Bit 31" "Low,High" bitfld.long 0x00 30. " IRQS30 ,IRQS Status Bit 30" "Low,High" bitfld.long 0x00 29. " IRQS29 ,IRQS Status Bit 29" "Low,High" textline " " bitfld.long 0x00 28. " IRQS28 ,IRQS Status Bit 28" "Low,High" bitfld.long 0x00 27. " IRQS27 ,IRQS Status Bit 27" "Low,High" bitfld.long 0x00 26. " IRQS26 ,IRQS Status Bit 26" "Low,High" textline " " bitfld.long 0x00 25. " IRQS25 ,IRQS Status Bit 25" "Low,High" bitfld.long 0x00 24. " IRQS24 ,IRQS Status Bit 24" "Low,High" bitfld.long 0x00 23. " IRQS23 ,IRQS Status Bit 23" "Low,High" textline " " bitfld.long 0x00 22. " IRQS22 ,IRQS Status Bit 22" "Low,High" bitfld.long 0x00 21. " IRQS21 ,IRQS Status Bit 21" "Low,High" bitfld.long 0x00 20. " IRQS20 ,IRQS Status Bit 20" "Low,High" textline " " bitfld.long 0x00 19. " IRQS19 ,IRQS Status Bit 19" "Low,High" bitfld.long 0x00 18. " IRQS18 ,IRQS Status Bit 18" "Low,High" bitfld.long 0x00 17. " IRQS17 ,IRQS Status Bit 17" "Low,High" textline " " bitfld.long 0x00 16. " IRQS16 ,IRQS Status Bit 16" "Low,High" bitfld.long 0x00 15. " IRQS15 ,IRQS Status Bit 15" "Low,High" bitfld.long 0x00 14. " IRQS14 ,IRQS Status Bit 14" "Low,High" textline " " bitfld.long 0x00 13. " IRQS13 ,IRQS Status Bit 13" "Low,High" bitfld.long 0x00 12. " IRQS12 ,IRQS Status Bit 12" "Low,High" bitfld.long 0x00 11. " IRQS11 ,IRQS Status Bit 11" "Low,High" textline " " bitfld.long 0x00 10. " IRQS10 ,IRQS Status Bit 10" "Low,High" bitfld.long 0x00 9. " IRQS9 ,IRQS Status Bit 9" "Low,High" bitfld.long 0x00 8. " IRQS8 ,IRQS Status Bit 8" "Low,High" textline " " bitfld.long 0x00 7. " IRQS7 ,IRQS Status Bit 7" "Low,High" bitfld.long 0x00 6. " IRQS6 ,IRQS Status Bit 6" "Low,High" bitfld.long 0x00 5. " IRQS5 ,IRQS Status Bit 5" "Low,High" textline " " bitfld.long 0x00 4. " IRQS4 ,IRQS Status Bit 4" "Low,High" bitfld.long 0x00 3. " IRQS3 ,IRQS Status Bit 3" "Low,High" bitfld.long 0x00 2. " IRQS2 ,IRQS Status Bit 2" "Low,High" textline " " bitfld.long 0x00 1. " IRQS1 ,IRQS Status Bit 1" "Low,High" bitfld.long 0x00 0. " IRQS0 ,IRQS Status Bit 0" "Low,High" else rgroup.long 0x0D04++0x03 line.long 0x0 "GICD_SPISR0,Shared Peripheral Interrupt Status Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x02) rgroup.long 0x0D08++0x03 line.long 0x0 "GICD_SPISR1,Shared Peripheral Interrupt Status Register 1" bitfld.long 0x00 31. " IRQS63 ,IRQS Status Bit 63" "Low,High" bitfld.long 0x00 30. " IRQS62 ,IRQS Status Bit 62" "Low,High" bitfld.long 0x00 29. " IRQS61 ,IRQS Status Bit 61" "Low,High" textline " " bitfld.long 0x00 28. " IRQS60 ,IRQS Status Bit 60" "Low,High" bitfld.long 0x00 27. " IRQS59 ,IRQS Status Bit 59" "Low,High" bitfld.long 0x00 26. " IRQS58 ,IRQS Status Bit 58" "Low,High" textline " " bitfld.long 0x00 25. " IRQS57 ,IRQS Status Bit 57" "Low,High" bitfld.long 0x00 24. " IRQS56 ,IRQS Status Bit 56" "Low,High" bitfld.long 0x00 23. " IRQS55 ,IRQS Status Bit 55" "Low,High" textline " " bitfld.long 0x00 22. " IRQS54 ,IRQS Status Bit 54" "Low,High" bitfld.long 0x00 21. " IRQS53 ,IRQS Status Bit 53" "Low,High" bitfld.long 0x00 20. " IRQS52 ,IRQS Status Bit 52" "Low,High" textline " " bitfld.long 0x00 19. " IRQS51 ,IRQS Status Bit 51" "Low,High" bitfld.long 0x00 18. " IRQS50 ,IRQS Status Bit 50" "Low,High" bitfld.long 0x00 17. " IRQS49 ,IRQS Status Bit 49" "Low,High" textline " " bitfld.long 0x00 16. " IRQS48 ,IRQS Status Bit 48" "Low,High" bitfld.long 0x00 15. " IRQS47 ,IRQS Status Bit 47" "Low,High" bitfld.long 0x00 14. " IRQS46 ,IRQS Status Bit 46" "Low,High" textline " " bitfld.long 0x00 13. " IRQS45 ,IRQS Status Bit 45" "Low,High" bitfld.long 0x00 12. " IRQS44 ,IRQS Status Bit 44" "Low,High" bitfld.long 0x00 11. " IRQS43 ,IRQS Status Bit 43" "Low,High" textline " " bitfld.long 0x00 10. " IRQS42 ,IRQS Status Bit 42" "Low,High" bitfld.long 0x00 9. " IRQS41 ,IRQS Status Bit 41" "Low,High" bitfld.long 0x00 8. " IRQS40 ,IRQS Status Bit 40" "Low,High" textline " " bitfld.long 0x00 7. " IRQS39 ,IRQS Status Bit 39" "Low,High" bitfld.long 0x00 6. " IRQS38 ,IRQS Status Bit 38" "Low,High" bitfld.long 0x00 5. " IRQS37 ,IRQS Status Bit 37" "Low,High" textline " " bitfld.long 0x00 4. " IRQS36 ,IRQS Status Bit 36" "Low,High" bitfld.long 0x00 3. " IRQS35 ,IRQS Status Bit 35" "Low,High" bitfld.long 0x00 2. " IRQS34 ,IRQS Status Bit 34" "Low,High" textline " " bitfld.long 0x00 1. " IRQS33 ,IRQS Status Bit 33" "Low,High" bitfld.long 0x00 0. " IRQS32 ,IRQS Status Bit 32" "Low,High" else rgroup.long 0x0D08++0x03 line.long 0x0 "GICD_SPISR1,Shared Peripheral Interrupt Status Register 1" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x03) rgroup.long 0x0D0C++0x03 line.long 0x0 "GICD_SPISR2,Shared Peripheral Interrupt Status Register 2" bitfld.long 0x00 31. " IRQS95 ,IRQS Status Bit 95" "Low,High" bitfld.long 0x00 30. " IRQS94 ,IRQS Status Bit 94" "Low,High" bitfld.long 0x00 29. " IRQS93 ,IRQS Status Bit 93" "Low,High" textline " " bitfld.long 0x00 28. " IRQS92 ,IRQS Status Bit 92" "Low,High" bitfld.long 0x00 27. " IRQS91 ,IRQS Status Bit 91" "Low,High" bitfld.long 0x00 26. " IRQS90 ,IRQS Status Bit 90" "Low,High" textline " " bitfld.long 0x00 25. " IRQS89 ,IRQS Status Bit 89" "Low,High" bitfld.long 0x00 24. " IRQS88 ,IRQS Status Bit 88" "Low,High" bitfld.long 0x00 23. " IRQS87 ,IRQS Status Bit 87" "Low,High" textline " " bitfld.long 0x00 22. " IRQS86 ,IRQS Status Bit 86" "Low,High" bitfld.long 0x00 21. " IRQS85 ,IRQS Status Bit 85" "Low,High" bitfld.long 0x00 20. " IRQS84 ,IRQS Status Bit 84" "Low,High" textline " " bitfld.long 0x00 19. " IRQS83 ,IRQS Status Bit 83" "Low,High" bitfld.long 0x00 18. " IRQS82 ,IRQS Status Bit 82" "Low,High" bitfld.long 0x00 17. " IRQS81 ,IRQS Status Bit 81" "Low,High" textline " " bitfld.long 0x00 16. " IRQS80 ,IRQS Status Bit 80" "Low,High" bitfld.long 0x00 15. " IRQS79 ,IRQS Status Bit 79" "Low,High" bitfld.long 0x00 14. " IRQS78 ,IRQS Status Bit 78" "Low,High" textline " " bitfld.long 0x00 13. " IRQS77 ,IRQS Status Bit 77" "Low,High" bitfld.long 0x00 12. " IRQS76 ,IRQS Status Bit 76" "Low,High" bitfld.long 0x00 11. " IRQS75 ,IRQS Status Bit 75" "Low,High" textline " " bitfld.long 0x00 10. " IRQS74 ,IRQS Status Bit 74" "Low,High" bitfld.long 0x00 9. " IRQS73 ,IRQS Status Bit 73" "Low,High" bitfld.long 0x00 8. " IRQS72 ,IRQS Status Bit 72" "Low,High" textline " " bitfld.long 0x00 7. " IRQS71 ,IRQS Status Bit 71" "Low,High" bitfld.long 0x00 6. " IRQS70 ,IRQS Status Bit 70" "Low,High" bitfld.long 0x00 5. " IRQS69 ,IRQS Status Bit 69" "Low,High" textline " " bitfld.long 0x00 4. " IRQS68 ,IRQS Status Bit 68" "Low,High" bitfld.long 0x00 3. " IRQS67 ,IRQS Status Bit 67" "Low,High" bitfld.long 0x00 2. " IRQS66 ,IRQS Status Bit 66" "Low,High" textline " " bitfld.long 0x00 1. " IRQS65 ,IRQS Status Bit 65" "Low,High" bitfld.long 0x00 0. " IRQS64 ,IRQS Status Bit 64" "Low,High" else rgroup.long 0x0D0C++0x03 line.long 0x0 "GICD_SPISR2,Shared Peripheral Interrupt Status Register 2" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x04) rgroup.long 0x0D10++0x03 line.long 0x0 "GICD_SPISR3,Shared Peripheral Interrupt Status Register 3" bitfld.long 0x00 31. " IRQS127 ,IRQS Status Bit 127" "Low,High" bitfld.long 0x00 30. " IRQS126 ,IRQS Status Bit 126" "Low,High" bitfld.long 0x00 29. " IRQS125 ,IRQS Status Bit 125" "Low,High" textline " " bitfld.long 0x00 28. " IRQS124 ,IRQS Status Bit 124" "Low,High" bitfld.long 0x00 27. " IRQS123 ,IRQS Status Bit 123" "Low,High" bitfld.long 0x00 26. " IRQS122 ,IRQS Status Bit 122" "Low,High" textline " " bitfld.long 0x00 25. " IRQS121 ,IRQS Status Bit 121" "Low,High" bitfld.long 0x00 24. " IRQS120 ,IRQS Status Bit 120" "Low,High" bitfld.long 0x00 23. " IRQS119 ,IRQS Status Bit 119" "Low,High" textline " " bitfld.long 0x00 22. " IRQS118 ,IRQS Status Bit 118" "Low,High" bitfld.long 0x00 21. " IRQS117 ,IRQS Status Bit 117" "Low,High" bitfld.long 0x00 20. " IRQS116 ,IRQS Status Bit 116" "Low,High" textline " " bitfld.long 0x00 19. " IRQS115 ,IRQS Status Bit 115" "Low,High" bitfld.long 0x00 18. " IRQS114 ,IRQS Status Bit 114" "Low,High" bitfld.long 0x00 17. " IRQS113 ,IRQS Status Bit 113" "Low,High" textline " " bitfld.long 0x00 16. " IRQS112 ,IRQS Status Bit 112" "Low,High" bitfld.long 0x00 15. " IRQS111 ,IRQS Status Bit 111" "Low,High" bitfld.long 0x00 14. " IRQS110 ,IRQS Status Bit 110" "Low,High" textline " " bitfld.long 0x00 13. " IRQS109 ,IRQS Status Bit 109" "Low,High" bitfld.long 0x00 12. " IRQS108 ,IRQS Status Bit 108" "Low,High" bitfld.long 0x00 11. " IRQS107 ,IRQS Status Bit 107" "Low,High" textline " " bitfld.long 0x00 10. " IRQS106 ,IRQS Status Bit 106" "Low,High" bitfld.long 0x00 9. " IRQS105 ,IRQS Status Bit 105" "Low,High" bitfld.long 0x00 8. " IRQS104 ,IRQS Status Bit 104" "Low,High" textline " " bitfld.long 0x00 7. " IRQS103 ,IRQS Status Bit 103" "Low,High" bitfld.long 0x00 6. " IRQS102 ,IRQS Status Bit 102" "Low,High" bitfld.long 0x00 5. " IRQS101 ,IRQS Status Bit 101" "Low,High" textline " " bitfld.long 0x00 4. " IRQS100 ,IRQS Status Bit 100" "Low,High" bitfld.long 0x00 3. " IRQS99 ,IRQS Status Bit 99" "Low,High" bitfld.long 0x00 2. " IRQS98 ,IRQS Status Bit 98" "Low,High" textline " " bitfld.long 0x00 1. " IRQS97 ,IRQS Status Bit 97" "Low,High" bitfld.long 0x00 0. " IRQS96 ,IRQS Status Bit 96" "Low,High" else rgroup.long 0x0D10++0x03 line.long 0x0 "GICD_SPISR3,Shared Peripheral Interrupt Status Register 3" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x05) rgroup.long 0x0D14++0x03 line.long 0x0 "GICD_SPISR4,Shared Peripheral Interrupt Status Register 4" bitfld.long 0x00 31. " IRQS159 ,IRQS Status Bit 159" "Low,High" bitfld.long 0x00 30. " IRQS158 ,IRQS Status Bit 158" "Low,High" bitfld.long 0x00 29. " IRQS157 ,IRQS Status Bit 157" "Low,High" textline " " bitfld.long 0x00 28. " IRQS156 ,IRQS Status Bit 156" "Low,High" bitfld.long 0x00 27. " IRQS155 ,IRQS Status Bit 155" "Low,High" bitfld.long 0x00 26. " IRQS154 ,IRQS Status Bit 154" "Low,High" textline " " bitfld.long 0x00 25. " IRQS153 ,IRQS Status Bit 153" "Low,High" bitfld.long 0x00 24. " IRQS152 ,IRQS Status Bit 152" "Low,High" bitfld.long 0x00 23. " IRQS151 ,IRQS Status Bit 151" "Low,High" textline " " bitfld.long 0x00 22. " IRQS150 ,IRQS Status Bit 150" "Low,High" bitfld.long 0x00 21. " IRQS149 ,IRQS Status Bit 149" "Low,High" bitfld.long 0x00 20. " IRQS148 ,IRQS Status Bit 148" "Low,High" textline " " bitfld.long 0x00 19. " IRQS147 ,IRQS Status Bit 147" "Low,High" bitfld.long 0x00 18. " IRQS146 ,IRQS Status Bit 146" "Low,High" bitfld.long 0x00 17. " IRQS145 ,IRQS Status Bit 145" "Low,High" textline " " bitfld.long 0x00 16. " IRQS144 ,IRQS Status Bit 144" "Low,High" bitfld.long 0x00 15. " IRQS143 ,IRQS Status Bit 143" "Low,High" bitfld.long 0x00 14. " IRQS142 ,IRQS Status Bit 142" "Low,High" textline " " bitfld.long 0x00 13. " IRQS141 ,IRQS Status Bit 141" "Low,High" bitfld.long 0x00 12. " IRQS140 ,IRQS Status Bit 140" "Low,High" bitfld.long 0x00 11. " IRQS139 ,IRQS Status Bit 139" "Low,High" textline " " bitfld.long 0x00 10. " IRQS138 ,IRQS Status Bit 138" "Low,High" bitfld.long 0x00 9. " IRQS137 ,IRQS Status Bit 137" "Low,High" bitfld.long 0x00 8. " IRQS136 ,IRQS Status Bit 136" "Low,High" textline " " bitfld.long 0x00 7. " IRQS135 ,IRQS Status Bit 135" "Low,High" bitfld.long 0x00 6. " IRQS134 ,IRQS Status Bit 134" "Low,High" bitfld.long 0x00 5. " IRQS133 ,IRQS Status Bit 133" "Low,High" textline " " bitfld.long 0x00 4. " IRQS132 ,IRQS Status Bit 132" "Low,High" bitfld.long 0x00 3. " IRQS131 ,IRQS Status Bit 131" "Low,High" bitfld.long 0x00 2. " IRQS130 ,IRQS Status Bit 130" "Low,High" textline " " bitfld.long 0x00 1. " IRQS129 ,IRQS Status Bit 129" "Low,High" bitfld.long 0x00 0. " IRQS128 ,IRQS Status Bit 128" "Low,High" else rgroup.long 0x0D14++0x03 line.long 0x0 "GICD_SPISR4,Shared Peripheral Interrupt Status Register 4" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x06) rgroup.long 0x0D18++0x03 line.long 0x0 "GICD_SPISR5,Shared Peripheral Interrupt Status Register 5" bitfld.long 0x00 31. " IRQS191 ,IRQS Status Bit 191" "Low,High" bitfld.long 0x00 30. " IRQS190 ,IRQS Status Bit 190" "Low,High" bitfld.long 0x00 29. " IRQS189 ,IRQS Status Bit 189" "Low,High" textline " " bitfld.long 0x00 28. " IRQS188 ,IRQS Status Bit 188" "Low,High" bitfld.long 0x00 27. " IRQS187 ,IRQS Status Bit 187" "Low,High" bitfld.long 0x00 26. " IRQS186 ,IRQS Status Bit 186" "Low,High" textline " " bitfld.long 0x00 25. " IRQS185 ,IRQS Status Bit 185" "Low,High" bitfld.long 0x00 24. " IRQS184 ,IRQS Status Bit 184" "Low,High" bitfld.long 0x00 23. " IRQS183 ,IRQS Status Bit 183" "Low,High" textline " " bitfld.long 0x00 22. " IRQS182 ,IRQS Status Bit 182" "Low,High" bitfld.long 0x00 21. " IRQS181 ,IRQS Status Bit 181" "Low,High" bitfld.long 0x00 20. " IRQS180 ,IRQS Status Bit 180" "Low,High" textline " " bitfld.long 0x00 19. " IRQS179 ,IRQS Status Bit 179" "Low,High" bitfld.long 0x00 18. " IRQS178 ,IRQS Status Bit 178" "Low,High" bitfld.long 0x00 17. " IRQS177 ,IRQS Status Bit 177" "Low,High" textline " " bitfld.long 0x00 16. " IRQS176 ,IRQS Status Bit 176" "Low,High" bitfld.long 0x00 15. " IRQS175 ,IRQS Status Bit 175" "Low,High" bitfld.long 0x00 14. " IRQS174 ,IRQS Status Bit 174" "Low,High" textline " " bitfld.long 0x00 13. " IRQS173 ,IRQS Status Bit 173" "Low,High" bitfld.long 0x00 12. " IRQS172 ,IRQS Status Bit 172" "Low,High" bitfld.long 0x00 11. " IRQS171 ,IRQS Status Bit 171" "Low,High" textline " " bitfld.long 0x00 10. " IRQS170 ,IRQS Status Bit 170" "Low,High" bitfld.long 0x00 9. " IRQS169 ,IRQS Status Bit 169" "Low,High" bitfld.long 0x00 8. " IRQS168 ,IRQS Status Bit 168" "Low,High" textline " " bitfld.long 0x00 7. " IRQS167 ,IRQS Status Bit 167" "Low,High" bitfld.long 0x00 6. " IRQS166 ,IRQS Status Bit 166" "Low,High" bitfld.long 0x00 5. " IRQS165 ,IRQS Status Bit 165" "Low,High" textline " " bitfld.long 0x00 4. " IRQS164 ,IRQS Status Bit 164" "Low,High" bitfld.long 0x00 3. " IRQS163 ,IRQS Status Bit 163" "Low,High" bitfld.long 0x00 2. " IRQS162 ,IRQS Status Bit 162" "Low,High" textline " " bitfld.long 0x00 1. " IRQS161 ,IRQS Status Bit 161" "Low,High" bitfld.long 0x00 0. " IRQS160 ,IRQS Status Bit 160" "Low,High" else rgroup.long 0x0D18++0x03 line.long 0x0 "GICD_SPISR5,Shared Peripheral Interrupt Status Register 5" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x07) rgroup.long 0x0D1C++0x03 line.long 0x0 "GICD_SPISR6,Shared Peripheral Interrupt Status Register 6" bitfld.long 0x00 31. " IRQS223 ,IRQS Status Bit 223" "Low,High" bitfld.long 0x00 30. " IRQS222 ,IRQS Status Bit 222" "Low,High" bitfld.long 0x00 29. " IRQS221 ,IRQS Status Bit 221" "Low,High" textline " " bitfld.long 0x00 28. " IRQS220 ,IRQS Status Bit 220" "Low,High" bitfld.long 0x00 27. " IRQS219 ,IRQS Status Bit 219" "Low,High" bitfld.long 0x00 26. " IRQS218 ,IRQS Status Bit 218" "Low,High" textline " " bitfld.long 0x00 25. " IRQS217 ,IRQS Status Bit 217" "Low,High" bitfld.long 0x00 24. " IRQS216 ,IRQS Status Bit 216" "Low,High" bitfld.long 0x00 23. " IRQS215 ,IRQS Status Bit 215" "Low,High" textline " " bitfld.long 0x00 22. " IRQS214 ,IRQS Status Bit 214" "Low,High" bitfld.long 0x00 21. " IRQS213 ,IRQS Status Bit 213" "Low,High" bitfld.long 0x00 20. " IRQS212 ,IRQS Status Bit 212" "Low,High" textline " " bitfld.long 0x00 19. " IRQS211 ,IRQS Status Bit 211" "Low,High" bitfld.long 0x00 18. " IRQS210 ,IRQS Status Bit 210" "Low,High" bitfld.long 0x00 17. " IRQS209 ,IRQS Status Bit 209" "Low,High" textline " " bitfld.long 0x00 16. " IRQS208 ,IRQS Status Bit 208" "Low,High" bitfld.long 0x00 15. " IRQS207 ,IRQS Status Bit 207" "Low,High" bitfld.long 0x00 14. " IRQS206 ,IRQS Status Bit 206" "Low,High" textline " " bitfld.long 0x00 13. " IRQS205 ,IRQS Status Bit 205" "Low,High" bitfld.long 0x00 12. " IRQS204 ,IRQS Status Bit 204" "Low,High" bitfld.long 0x00 11. " IRQS203 ,IRQS Status Bit 203" "Low,High" textline " " bitfld.long 0x00 10. " IRQS202 ,IRQS Status Bit 202" "Low,High" bitfld.long 0x00 9. " IRQS201 ,IRQS Status Bit 201" "Low,High" bitfld.long 0x00 8. " IRQS200 ,IRQS Status Bit 200" "Low,High" textline " " bitfld.long 0x00 7. " IRQS199 ,IRQS Status Bit 199" "Low,High" bitfld.long 0x00 6. " IRQS198 ,IRQS Status Bit 198" "Low,High" bitfld.long 0x00 5. " IRQS197 ,IRQS Status Bit 197" "Low,High" textline " " bitfld.long 0x00 4. " IRQS196 ,IRQS Status Bit 196" "Low,High" bitfld.long 0x00 3. " IRQS195 ,IRQS Status Bit 195" "Low,High" bitfld.long 0x00 2. " IRQS194 ,IRQS Status Bit 194" "Low,High" textline " " bitfld.long 0x00 1. " IRQS193 ,IRQS Status Bit 193" "Low,High" bitfld.long 0x00 0. " IRQS192 ,IRQS Status Bit 192" "Low,High" else rgroup.long 0x0D1C++0x03 line.long 0x0 "GICD_SPISR6,Shared Peripheral Interrupt Status Register 6" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x08) rgroup.long 0x0D20++0x03 line.long 0x0 "GICD_SPISR7,Shared Peripheral Interrupt Status Register 7" bitfld.long 0x00 31. " IRQS255 ,IRQS Status Bit 255" "Low,High" bitfld.long 0x00 30. " IRQS254 ,IRQS Status Bit 254" "Low,High" bitfld.long 0x00 29. " IRQS253 ,IRQS Status Bit 253" "Low,High" textline " " bitfld.long 0x00 28. " IRQS252 ,IRQS Status Bit 252" "Low,High" bitfld.long 0x00 27. " IRQS251 ,IRQS Status Bit 251" "Low,High" bitfld.long 0x00 26. " IRQS250 ,IRQS Status Bit 250" "Low,High" textline " " bitfld.long 0x00 25. " IRQS249 ,IRQS Status Bit 249" "Low,High" bitfld.long 0x00 24. " IRQS248 ,IRQS Status Bit 248" "Low,High" bitfld.long 0x00 23. " IRQS247 ,IRQS Status Bit 247" "Low,High" textline " " bitfld.long 0x00 22. " IRQS246 ,IRQS Status Bit 246" "Low,High" bitfld.long 0x00 21. " IRQS245 ,IRQS Status Bit 245" "Low,High" bitfld.long 0x00 20. " IRQS244 ,IRQS Status Bit 244" "Low,High" textline " " bitfld.long 0x00 19. " IRQS243 ,IRQS Status Bit 243" "Low,High" bitfld.long 0x00 18. " IRQS242 ,IRQS Status Bit 242" "Low,High" bitfld.long 0x00 17. " IRQS241 ,IRQS Status Bit 241" "Low,High" textline " " bitfld.long 0x00 16. " IRQS240 ,IRQS Status Bit 240" "Low,High" bitfld.long 0x00 15. " IRQS239 ,IRQS Status Bit 239" "Low,High" bitfld.long 0x00 14. " IRQS238 ,IRQS Status Bit 238" "Low,High" textline " " bitfld.long 0x00 13. " IRQS237 ,IRQS Status Bit 237" "Low,High" bitfld.long 0x00 12. " IRQS236 ,IRQS Status Bit 236" "Low,High" bitfld.long 0x00 11. " IRQS235 ,IRQS Status Bit 235" "Low,High" textline " " bitfld.long 0x00 10. " IRQS234 ,IRQS Status Bit 234" "Low,High" bitfld.long 0x00 9. " IRQS233 ,IRQS Status Bit 233" "Low,High" bitfld.long 0x00 8. " IRQS232 ,IRQS Status Bit 232" "Low,High" textline " " bitfld.long 0x00 7. " IRQS231 ,IRQS Status Bit 231" "Low,High" bitfld.long 0x00 6. " IRQS230 ,IRQS Status Bit 230" "Low,High" bitfld.long 0x00 5. " IRQS229 ,IRQS Status Bit 229" "Low,High" textline " " bitfld.long 0x00 4. " IRQS228 ,IRQS Status Bit 228" "Low,High" bitfld.long 0x00 3. " IRQS227 ,IRQS Status Bit 227" "Low,High" bitfld.long 0x00 2. " IRQS226 ,IRQS Status Bit 226" "Low,High" textline " " bitfld.long 0x00 1. " IRQS225 ,IRQS Status Bit 225" "Low,High" bitfld.long 0x00 0. " IRQS224 ,IRQS Status Bit 224" "Low,High" else rgroup.long 0x0D20++0x03 line.long 0x0 "GICD_SPISR7,Shared Peripheral Interrupt Status Register 7" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x09) rgroup.long 0x0D24++0x03 line.long 0x0 "GICD_SPISR8,Shared Peripheral Interrupt Status Register 8" bitfld.long 0x00 31. " IRQS287 ,IRQS Status Bit 287" "Low,High" bitfld.long 0x00 30. " IRQS286 ,IRQS Status Bit 286" "Low,High" bitfld.long 0x00 29. " IRQS285 ,IRQS Status Bit 285" "Low,High" textline " " bitfld.long 0x00 28. " IRQS284 ,IRQS Status Bit 284" "Low,High" bitfld.long 0x00 27. " IRQS283 ,IRQS Status Bit 283" "Low,High" bitfld.long 0x00 26. " IRQS282 ,IRQS Status Bit 282" "Low,High" textline " " bitfld.long 0x00 25. " IRQS281 ,IRQS Status Bit 281" "Low,High" bitfld.long 0x00 24. " IRQS280 ,IRQS Status Bit 280" "Low,High" bitfld.long 0x00 23. " IRQS279 ,IRQS Status Bit 279" "Low,High" textline " " bitfld.long 0x00 22. " IRQS278 ,IRQS Status Bit 278" "Low,High" bitfld.long 0x00 21. " IRQS277 ,IRQS Status Bit 277" "Low,High" bitfld.long 0x00 20. " IRQS276 ,IRQS Status Bit 276" "Low,High" textline " " bitfld.long 0x00 19. " IRQS275 ,IRQS Status Bit 275" "Low,High" bitfld.long 0x00 18. " IRQS274 ,IRQS Status Bit 274" "Low,High" bitfld.long 0x00 17. " IRQS273 ,IRQS Status Bit 273" "Low,High" textline " " bitfld.long 0x00 16. " IRQS272 ,IRQS Status Bit 272" "Low,High" bitfld.long 0x00 15. " IRQS271 ,IRQS Status Bit 271" "Low,High" bitfld.long 0x00 14. " IRQS270 ,IRQS Status Bit 270" "Low,High" textline " " bitfld.long 0x00 13. " IRQS269 ,IRQS Status Bit 269" "Low,High" bitfld.long 0x00 12. " IRQS268 ,IRQS Status Bit 268" "Low,High" bitfld.long 0x00 11. " IRQS267 ,IRQS Status Bit 267" "Low,High" textline " " bitfld.long 0x00 10. " IRQS266 ,IRQS Status Bit 266" "Low,High" bitfld.long 0x00 9. " IRQS265 ,IRQS Status Bit 265" "Low,High" bitfld.long 0x00 8. " IRQS264 ,IRQS Status Bit 264" "Low,High" textline " " bitfld.long 0x00 7. " IRQS263 ,IRQS Status Bit 263" "Low,High" bitfld.long 0x00 6. " IRQS262 ,IRQS Status Bit 262" "Low,High" bitfld.long 0x00 5. " IRQS261 ,IRQS Status Bit 261" "Low,High" textline " " bitfld.long 0x00 4. " IRQS260 ,IRQS Status Bit 260" "Low,High" bitfld.long 0x00 3. " IRQS259 ,IRQS Status Bit 259" "Low,High" bitfld.long 0x00 2. " IRQS258 ,IRQS Status Bit 258" "Low,High" textline " " bitfld.long 0x00 1. " IRQS257 ,IRQS Status Bit 257" "Low,High" bitfld.long 0x00 0. " IRQS256 ,IRQS Status Bit 256" "Low,High" else rgroup.long 0x0D24++0x03 line.long 0x0 "GICD_SPISR8,Shared Peripheral Interrupt Status Register 8" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0A) rgroup.long 0x0D28++0x03 line.long 0x0 "GICD_SPISR9,Shared Peripheral Interrupt Status Register 9" bitfld.long 0x00 31. " IRQS319 ,IRQS Status Bit 319" "Low,High" bitfld.long 0x00 30. " IRQS318 ,IRQS Status Bit 318" "Low,High" bitfld.long 0x00 29. " IRQS317 ,IRQS Status Bit 317" "Low,High" textline " " bitfld.long 0x00 28. " IRQS316 ,IRQS Status Bit 316" "Low,High" bitfld.long 0x00 27. " IRQS315 ,IRQS Status Bit 315" "Low,High" bitfld.long 0x00 26. " IRQS314 ,IRQS Status Bit 314" "Low,High" textline " " bitfld.long 0x00 25. " IRQS313 ,IRQS Status Bit 313" "Low,High" bitfld.long 0x00 24. " IRQS312 ,IRQS Status Bit 312" "Low,High" bitfld.long 0x00 23. " IRQS311 ,IRQS Status Bit 311" "Low,High" textline " " bitfld.long 0x00 22. " IRQS310 ,IRQS Status Bit 310" "Low,High" bitfld.long 0x00 21. " IRQS309 ,IRQS Status Bit 309" "Low,High" bitfld.long 0x00 20. " IRQS308 ,IRQS Status Bit 308" "Low,High" textline " " bitfld.long 0x00 19. " IRQS307 ,IRQS Status Bit 307" "Low,High" bitfld.long 0x00 18. " IRQS306 ,IRQS Status Bit 306" "Low,High" bitfld.long 0x00 17. " IRQS305 ,IRQS Status Bit 305" "Low,High" textline " " bitfld.long 0x00 16. " IRQS304 ,IRQS Status Bit 304" "Low,High" bitfld.long 0x00 15. " IRQS303 ,IRQS Status Bit 303" "Low,High" bitfld.long 0x00 14. " IRQS302 ,IRQS Status Bit 302" "Low,High" textline " " bitfld.long 0x00 13. " IRQS301 ,IRQS Status Bit 301" "Low,High" bitfld.long 0x00 12. " IRQS300 ,IRQS Status Bit 300" "Low,High" bitfld.long 0x00 11. " IRQS299 ,IRQS Status Bit 299" "Low,High" textline " " bitfld.long 0x00 10. " IRQS298 ,IRQS Status Bit 298" "Low,High" bitfld.long 0x00 9. " IRQS297 ,IRQS Status Bit 297" "Low,High" bitfld.long 0x00 8. " IRQS296 ,IRQS Status Bit 296" "Low,High" textline " " bitfld.long 0x00 7. " IRQS295 ,IRQS Status Bit 295" "Low,High" bitfld.long 0x00 6. " IRQS294 ,IRQS Status Bit 294" "Low,High" bitfld.long 0x00 5. " IRQS293 ,IRQS Status Bit 293" "Low,High" textline " " bitfld.long 0x00 4. " IRQS292 ,IRQS Status Bit 292" "Low,High" bitfld.long 0x00 3. " IRQS291 ,IRQS Status Bit 291" "Low,High" bitfld.long 0x00 2. " IRQS290 ,IRQS Status Bit 290" "Low,High" textline " " bitfld.long 0x00 1. " IRQS289 ,IRQS Status Bit 289" "Low,High" bitfld.long 0x00 0. " IRQS288 ,IRQS Status Bit 288" "Low,High" else rgroup.long 0x0D28++0x03 line.long 0x0 "GICD_SPISR9,Shared Peripheral Interrupt Status Register 9" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0B) rgroup.long 0x0D2C++0x03 line.long 0x0 "GICD_SPISR10,Shared Peripheral Interrupt Status Register 10" bitfld.long 0x00 31. " IRQS351 ,IRQS Status Bit 351" "Low,High" bitfld.long 0x00 30. " IRQS350 ,IRQS Status Bit 350" "Low,High" bitfld.long 0x00 29. " IRQS349 ,IRQS Status Bit 349" "Low,High" textline " " bitfld.long 0x00 28. " IRQS348 ,IRQS Status Bit 348" "Low,High" bitfld.long 0x00 27. " IRQS347 ,IRQS Status Bit 347" "Low,High" bitfld.long 0x00 26. " IRQS346 ,IRQS Status Bit 346" "Low,High" textline " " bitfld.long 0x00 25. " IRQS345 ,IRQS Status Bit 345" "Low,High" bitfld.long 0x00 24. " IRQS344 ,IRQS Status Bit 344" "Low,High" bitfld.long 0x00 23. " IRQS343 ,IRQS Status Bit 343" "Low,High" textline " " bitfld.long 0x00 22. " IRQS342 ,IRQS Status Bit 342" "Low,High" bitfld.long 0x00 21. " IRQS341 ,IRQS Status Bit 341" "Low,High" bitfld.long 0x00 20. " IRQS340 ,IRQS Status Bit 340" "Low,High" textline " " bitfld.long 0x00 19. " IRQS339 ,IRQS Status Bit 339" "Low,High" bitfld.long 0x00 18. " IRQS338 ,IRQS Status Bit 338" "Low,High" bitfld.long 0x00 17. " IRQS337 ,IRQS Status Bit 337" "Low,High" textline " " bitfld.long 0x00 16. " IRQS336 ,IRQS Status Bit 336" "Low,High" bitfld.long 0x00 15. " IRQS335 ,IRQS Status Bit 335" "Low,High" bitfld.long 0x00 14. " IRQS334 ,IRQS Status Bit 334" "Low,High" textline " " bitfld.long 0x00 13. " IRQS333 ,IRQS Status Bit 333" "Low,High" bitfld.long 0x00 12. " IRQS332 ,IRQS Status Bit 332" "Low,High" bitfld.long 0x00 11. " IRQS331 ,IRQS Status Bit 331" "Low,High" textline " " bitfld.long 0x00 10. " IRQS330 ,IRQS Status Bit 330" "Low,High" bitfld.long 0x00 9. " IRQS329 ,IRQS Status Bit 329" "Low,High" bitfld.long 0x00 8. " IRQS328 ,IRQS Status Bit 328" "Low,High" textline " " bitfld.long 0x00 7. " IRQS327 ,IRQS Status Bit 327" "Low,High" bitfld.long 0x00 6. " IRQS326 ,IRQS Status Bit 326" "Low,High" bitfld.long 0x00 5. " IRQS325 ,IRQS Status Bit 325" "Low,High" textline " " bitfld.long 0x00 4. " IRQS324 ,IRQS Status Bit 324" "Low,High" bitfld.long 0x00 3. " IRQS323 ,IRQS Status Bit 323" "Low,High" bitfld.long 0x00 2. " IRQS322 ,IRQS Status Bit 322" "Low,High" textline " " bitfld.long 0x00 1. " IRQS321 ,IRQS Status Bit 321" "Low,High" bitfld.long 0x00 0. " IRQS320 ,IRQS Status Bit 320" "Low,High" else rgroup.long 0x0D2C++0x03 line.long 0x0 "GICD_SPISR10,Shared Peripheral Interrupt Status Register 10" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0C) rgroup.long 0x0D30++0x03 line.long 0x0 "GICD_SPISR11,Shared Peripheral Interrupt Status Register 11" bitfld.long 0x00 31. " IRQS383 ,IRQS Status Bit 383" "Low,High" bitfld.long 0x00 30. " IRQS382 ,IRQS Status Bit 382" "Low,High" bitfld.long 0x00 29. " IRQS381 ,IRQS Status Bit 381" "Low,High" textline " " bitfld.long 0x00 28. " IRQS380 ,IRQS Status Bit 380" "Low,High" bitfld.long 0x00 27. " IRQS379 ,IRQS Status Bit 379" "Low,High" bitfld.long 0x00 26. " IRQS378 ,IRQS Status Bit 378" "Low,High" textline " " bitfld.long 0x00 25. " IRQS377 ,IRQS Status Bit 377" "Low,High" bitfld.long 0x00 24. " IRQS376 ,IRQS Status Bit 376" "Low,High" bitfld.long 0x00 23. " IRQS375 ,IRQS Status Bit 375" "Low,High" textline " " bitfld.long 0x00 22. " IRQS374 ,IRQS Status Bit 374" "Low,High" bitfld.long 0x00 21. " IRQS373 ,IRQS Status Bit 373" "Low,High" bitfld.long 0x00 20. " IRQS372 ,IRQS Status Bit 372" "Low,High" textline " " bitfld.long 0x00 19. " IRQS371 ,IRQS Status Bit 371" "Low,High" bitfld.long 0x00 18. " IRQS370 ,IRQS Status Bit 370" "Low,High" bitfld.long 0x00 17. " IRQS369 ,IRQS Status Bit 369" "Low,High" textline " " bitfld.long 0x00 16. " IRQS368 ,IRQS Status Bit 368" "Low,High" bitfld.long 0x00 15. " IRQS367 ,IRQS Status Bit 367" "Low,High" bitfld.long 0x00 14. " IRQS366 ,IRQS Status Bit 366" "Low,High" textline " " bitfld.long 0x00 13. " IRQS365 ,IRQS Status Bit 365" "Low,High" bitfld.long 0x00 12. " IRQS364 ,IRQS Status Bit 364" "Low,High" bitfld.long 0x00 11. " IRQS363 ,IRQS Status Bit 363" "Low,High" textline " " bitfld.long 0x00 10. " IRQS362 ,IRQS Status Bit 362" "Low,High" bitfld.long 0x00 9. " IRQS361 ,IRQS Status Bit 361" "Low,High" bitfld.long 0x00 8. " IRQS360 ,IRQS Status Bit 360" "Low,High" textline " " bitfld.long 0x00 7. " IRQS359 ,IRQS Status Bit 359" "Low,High" bitfld.long 0x00 6. " IRQS358 ,IRQS Status Bit 358" "Low,High" bitfld.long 0x00 5. " IRQS357 ,IRQS Status Bit 357" "Low,High" textline " " bitfld.long 0x00 4. " IRQS356 ,IRQS Status Bit 356" "Low,High" bitfld.long 0x00 3. " IRQS355 ,IRQS Status Bit 355" "Low,High" bitfld.long 0x00 2. " IRQS354 ,IRQS Status Bit 354" "Low,High" textline " " bitfld.long 0x00 1. " IRQS353 ,IRQS Status Bit 353" "Low,High" bitfld.long 0x00 0. " IRQS352 ,IRQS Status Bit 352" "Low,High" else rgroup.long 0x0D30++0x03 line.long 0x0 "GICD_SPISR11,Shared Peripheral Interrupt Status Register 11" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0D) rgroup.long 0x0D34++0x03 line.long 0x0 "GICD_SPISR12,Shared Peripheral Interrupt Status Register 12" bitfld.long 0x00 31. " IRQS415 ,IRQS Status Bit 415" "Low,High" bitfld.long 0x00 30. " IRQS414 ,IRQS Status Bit 414" "Low,High" bitfld.long 0x00 29. " IRQS413 ,IRQS Status Bit 413" "Low,High" textline " " bitfld.long 0x00 28. " IRQS412 ,IRQS Status Bit 412" "Low,High" bitfld.long 0x00 27. " IRQS411 ,IRQS Status Bit 411" "Low,High" bitfld.long 0x00 26. " IRQS410 ,IRQS Status Bit 410" "Low,High" textline " " bitfld.long 0x00 25. " IRQS409 ,IRQS Status Bit 409" "Low,High" bitfld.long 0x00 24. " IRQS408 ,IRQS Status Bit 408" "Low,High" bitfld.long 0x00 23. " IRQS407 ,IRQS Status Bit 407" "Low,High" textline " " bitfld.long 0x00 22. " IRQS406 ,IRQS Status Bit 406" "Low,High" bitfld.long 0x00 21. " IRQS405 ,IRQS Status Bit 405" "Low,High" bitfld.long 0x00 20. " IRQS404 ,IRQS Status Bit 404" "Low,High" textline " " bitfld.long 0x00 19. " IRQS403 ,IRQS Status Bit 403" "Low,High" bitfld.long 0x00 18. " IRQS402 ,IRQS Status Bit 402" "Low,High" bitfld.long 0x00 17. " IRQS401 ,IRQS Status Bit 401" "Low,High" textline " " bitfld.long 0x00 16. " IRQS400 ,IRQS Status Bit 400" "Low,High" bitfld.long 0x00 15. " IRQS399 ,IRQS Status Bit 399" "Low,High" bitfld.long 0x00 14. " IRQS398 ,IRQS Status Bit 398" "Low,High" textline " " bitfld.long 0x00 13. " IRQS397 ,IRQS Status Bit 397" "Low,High" bitfld.long 0x00 12. " IRQS396 ,IRQS Status Bit 396" "Low,High" bitfld.long 0x00 11. " IRQS395 ,IRQS Status Bit 395" "Low,High" textline " " bitfld.long 0x00 10. " IRQS394 ,IRQS Status Bit 394" "Low,High" bitfld.long 0x00 9. " IRQS393 ,IRQS Status Bit 393" "Low,High" bitfld.long 0x00 8. " IRQS392 ,IRQS Status Bit 392" "Low,High" textline " " bitfld.long 0x00 7. " IRQS391 ,IRQS Status Bit 391" "Low,High" bitfld.long 0x00 6. " IRQS390 ,IRQS Status Bit 390" "Low,High" bitfld.long 0x00 5. " IRQS389 ,IRQS Status Bit 389" "Low,High" textline " " bitfld.long 0x00 4. " IRQS388 ,IRQS Status Bit 388" "Low,High" bitfld.long 0x00 3. " IRQS387 ,IRQS Status Bit 387" "Low,High" bitfld.long 0x00 2. " IRQS386 ,IRQS Status Bit 386" "Low,High" textline " " bitfld.long 0x00 1. " IRQS385 ,IRQS Status Bit 385" "Low,High" bitfld.long 0x00 0. " IRQS384 ,IRQS Status Bit 384" "Low,High" else rgroup.long 0x0D34++0x03 line.long 0x0 "GICD_SPISR12,Shared Peripheral Interrupt Status Register 12" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0E) rgroup.long 0x0D38++0x03 line.long 0x0 "GICD_SPISR13,Shared Peripheral Interrupt Status Register 13" bitfld.long 0x00 31. " IRQS447 ,IRQS Status Bit 447" "Low,High" bitfld.long 0x00 30. " IRQS446 ,IRQS Status Bit 446" "Low,High" bitfld.long 0x00 29. " IRQS445 ,IRQS Status Bit 445" "Low,High" textline " " bitfld.long 0x00 28. " IRQS444 ,IRQS Status Bit 444" "Low,High" bitfld.long 0x00 27. " IRQS443 ,IRQS Status Bit 443" "Low,High" bitfld.long 0x00 26. " IRQS442 ,IRQS Status Bit 442" "Low,High" textline " " bitfld.long 0x00 25. " IRQS441 ,IRQS Status Bit 441" "Low,High" bitfld.long 0x00 24. " IRQS440 ,IRQS Status Bit 440" "Low,High" bitfld.long 0x00 23. " IRQS439 ,IRQS Status Bit 439" "Low,High" textline " " bitfld.long 0x00 22. " IRQS438 ,IRQS Status Bit 438" "Low,High" bitfld.long 0x00 21. " IRQS437 ,IRQS Status Bit 437" "Low,High" bitfld.long 0x00 20. " IRQS436 ,IRQS Status Bit 436" "Low,High" textline " " bitfld.long 0x00 19. " IRQS435 ,IRQS Status Bit 435" "Low,High" bitfld.long 0x00 18. " IRQS434 ,IRQS Status Bit 434" "Low,High" bitfld.long 0x00 17. " IRQS433 ,IRQS Status Bit 433" "Low,High" textline " " bitfld.long 0x00 16. " IRQS432 ,IRQS Status Bit 432" "Low,High" bitfld.long 0x00 15. " IRQS431 ,IRQS Status Bit 431" "Low,High" bitfld.long 0x00 14. " IRQS430 ,IRQS Status Bit 430" "Low,High" textline " " bitfld.long 0x00 13. " IRQS429 ,IRQS Status Bit 429" "Low,High" bitfld.long 0x00 12. " IRQS428 ,IRQS Status Bit 428" "Low,High" bitfld.long 0x00 11. " IRQS427 ,IRQS Status Bit 427" "Low,High" textline " " bitfld.long 0x00 10. " IRQS426 ,IRQS Status Bit 426" "Low,High" bitfld.long 0x00 9. " IRQS425 ,IRQS Status Bit 425" "Low,High" bitfld.long 0x00 8. " IRQS424 ,IRQS Status Bit 424" "Low,High" textline " " bitfld.long 0x00 7. " IRQS423 ,IRQS Status Bit 423" "Low,High" bitfld.long 0x00 6. " IRQS422 ,IRQS Status Bit 422" "Low,High" bitfld.long 0x00 5. " IRQS421 ,IRQS Status Bit 421" "Low,High" textline " " bitfld.long 0x00 4. " IRQS420 ,IRQS Status Bit 420" "Low,High" bitfld.long 0x00 3. " IRQS419 ,IRQS Status Bit 419" "Low,High" bitfld.long 0x00 2. " IRQS418 ,IRQS Status Bit 418" "Low,High" textline " " bitfld.long 0x00 1. " IRQS417 ,IRQS Status Bit 417" "Low,High" bitfld.long 0x00 0. " IRQS416 ,IRQS Status Bit 416" "Low,High" else rgroup.long 0x0D38++0x03 line.long 0x0 "GICD_SPISR13,Shared Peripheral Interrupt Status Register 13" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x0F) rgroup.long 0x0D3C++0x03 line.long 0x0 "GICD_SPISR14,Shared Peripheral Interrupt Status Register 14" bitfld.long 0x00 31. " IRQS479 ,IRQS Status Bit 479" "Low,High" bitfld.long 0x00 30. " IRQS478 ,IRQS Status Bit 478" "Low,High" bitfld.long 0x00 29. " IRQS477 ,IRQS Status Bit 477" "Low,High" textline " " bitfld.long 0x00 28. " IRQS476 ,IRQS Status Bit 476" "Low,High" bitfld.long 0x00 27. " IRQS475 ,IRQS Status Bit 475" "Low,High" bitfld.long 0x00 26. " IRQS474 ,IRQS Status Bit 474" "Low,High" textline " " bitfld.long 0x00 25. " IRQS473 ,IRQS Status Bit 473" "Low,High" bitfld.long 0x00 24. " IRQS472 ,IRQS Status Bit 472" "Low,High" bitfld.long 0x00 23. " IRQS471 ,IRQS Status Bit 471" "Low,High" textline " " bitfld.long 0x00 22. " IRQS470 ,IRQS Status Bit 470" "Low,High" bitfld.long 0x00 21. " IRQS469 ,IRQS Status Bit 469" "Low,High" bitfld.long 0x00 20. " IRQS468 ,IRQS Status Bit 468" "Low,High" textline " " bitfld.long 0x00 19. " IRQS467 ,IRQS Status Bit 467" "Low,High" bitfld.long 0x00 18. " IRQS466 ,IRQS Status Bit 466" "Low,High" bitfld.long 0x00 17. " IRQS465 ,IRQS Status Bit 465" "Low,High" textline " " bitfld.long 0x00 16. " IRQS464 ,IRQS Status Bit 464" "Low,High" bitfld.long 0x00 15. " IRQS463 ,IRQS Status Bit 463" "Low,High" bitfld.long 0x00 14. " IRQS462 ,IRQS Status Bit 462" "Low,High" textline " " bitfld.long 0x00 13. " IRQS461 ,IRQS Status Bit 461" "Low,High" bitfld.long 0x00 12. " IRQS460 ,IRQS Status Bit 460" "Low,High" bitfld.long 0x00 11. " IRQS459 ,IRQS Status Bit 459" "Low,High" textline " " bitfld.long 0x00 10. " IRQS458 ,IRQS Status Bit 458" "Low,High" bitfld.long 0x00 9. " IRQS457 ,IRQS Status Bit 457" "Low,High" bitfld.long 0x00 8. " IRQS456 ,IRQS Status Bit 456" "Low,High" textline " " bitfld.long 0x00 7. " IRQS455 ,IRQS Status Bit 455" "Low,High" bitfld.long 0x00 6. " IRQS454 ,IRQS Status Bit 454" "Low,High" bitfld.long 0x00 5. " IRQS453 ,IRQS Status Bit 453" "Low,High" textline " " bitfld.long 0x00 4. " IRQS452 ,IRQS Status Bit 452" "Low,High" bitfld.long 0x00 3. " IRQS451 ,IRQS Status Bit 451" "Low,High" bitfld.long 0x00 2. " IRQS450 ,IRQS Status Bit 450" "Low,High" textline " " bitfld.long 0x00 1. " IRQS449 ,IRQS Status Bit 449" "Low,High" bitfld.long 0x00 0. " IRQS448 ,IRQS Status Bit 448" "Low,High" else rgroup.long 0x0D3C++0x03 line.long 0x0 "GICD_SPISR14,Shared Peripheral Interrupt Status Register 14" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x10) rgroup.long 0x0D40++0x03 line.long 0x0 "GICD_SPISR15,Shared Peripheral Interrupt Status Register 15" bitfld.long 0x00 31. " IRQS511 ,IRQS Status Bit 511" "Low,High" bitfld.long 0x00 30. " IRQS510 ,IRQS Status Bit 510" "Low,High" bitfld.long 0x00 29. " IRQS509 ,IRQS Status Bit 509" "Low,High" textline " " bitfld.long 0x00 28. " IRQS508 ,IRQS Status Bit 508" "Low,High" bitfld.long 0x00 27. " IRQS507 ,IRQS Status Bit 507" "Low,High" bitfld.long 0x00 26. " IRQS506 ,IRQS Status Bit 506" "Low,High" textline " " bitfld.long 0x00 25. " IRQS505 ,IRQS Status Bit 505" "Low,High" bitfld.long 0x00 24. " IRQS504 ,IRQS Status Bit 504" "Low,High" bitfld.long 0x00 23. " IRQS503 ,IRQS Status Bit 503" "Low,High" textline " " bitfld.long 0x00 22. " IRQS502 ,IRQS Status Bit 502" "Low,High" bitfld.long 0x00 21. " IRQS501 ,IRQS Status Bit 501" "Low,High" bitfld.long 0x00 20. " IRQS500 ,IRQS Status Bit 500" "Low,High" textline " " bitfld.long 0x00 19. " IRQS499 ,IRQS Status Bit 499" "Low,High" bitfld.long 0x00 18. " IRQS498 ,IRQS Status Bit 498" "Low,High" bitfld.long 0x00 17. " IRQS497 ,IRQS Status Bit 497" "Low,High" textline " " bitfld.long 0x00 16. " IRQS496 ,IRQS Status Bit 496" "Low,High" bitfld.long 0x00 15. " IRQS495 ,IRQS Status Bit 495" "Low,High" bitfld.long 0x00 14. " IRQS494 ,IRQS Status Bit 494" "Low,High" textline " " bitfld.long 0x00 13. " IRQS493 ,IRQS Status Bit 493" "Low,High" bitfld.long 0x00 12. " IRQS492 ,IRQS Status Bit 492" "Low,High" bitfld.long 0x00 11. " IRQS491 ,IRQS Status Bit 491" "Low,High" textline " " bitfld.long 0x00 10. " IRQS490 ,IRQS Status Bit 490" "Low,High" bitfld.long 0x00 9. " IRQS489 ,IRQS Status Bit 489" "Low,High" bitfld.long 0x00 8. " IRQS488 ,IRQS Status Bit 488" "Low,High" textline " " bitfld.long 0x00 7. " IRQS487 ,IRQS Status Bit 487" "Low,High" bitfld.long 0x00 6. " IRQS486 ,IRQS Status Bit 486" "Low,High" bitfld.long 0x00 5. " IRQS485 ,IRQS Status Bit 485" "Low,High" textline " " bitfld.long 0x00 4. " IRQS484 ,IRQS Status Bit 484" "Low,High" bitfld.long 0x00 3. " IRQS483 ,IRQS Status Bit 483" "Low,High" bitfld.long 0x00 2. " IRQS482 ,IRQS Status Bit 482" "Low,High" textline " " bitfld.long 0x00 1. " IRQS481 ,IRQS Status Bit 481" "Low,High" bitfld.long 0x00 0. " IRQS480 ,IRQS Status Bit 480" "Low,High" else rgroup.long 0x0D40++0x03 line.long 0x0 "GICD_SPISR15,Shared Peripheral Interrupt Status Register 15" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x11) rgroup.long 0x0D44++0x03 line.long 0x0 "GICD_SPISR16,Shared Peripheral Interrupt Status Register 16" bitfld.long 0x00 31. " IRQS543 ,IRQS Status Bit 543" "Low,High" bitfld.long 0x00 30. " IRQS542 ,IRQS Status Bit 542" "Low,High" bitfld.long 0x00 29. " IRQS541 ,IRQS Status Bit 541" "Low,High" textline " " bitfld.long 0x00 28. " IRQS540 ,IRQS Status Bit 540" "Low,High" bitfld.long 0x00 27. " IRQS539 ,IRQS Status Bit 539" "Low,High" bitfld.long 0x00 26. " IRQS538 ,IRQS Status Bit 538" "Low,High" textline " " bitfld.long 0x00 25. " IRQS537 ,IRQS Status Bit 537" "Low,High" bitfld.long 0x00 24. " IRQS536 ,IRQS Status Bit 536" "Low,High" bitfld.long 0x00 23. " IRQS535 ,IRQS Status Bit 535" "Low,High" textline " " bitfld.long 0x00 22. " IRQS534 ,IRQS Status Bit 534" "Low,High" bitfld.long 0x00 21. " IRQS533 ,IRQS Status Bit 533" "Low,High" bitfld.long 0x00 20. " IRQS532 ,IRQS Status Bit 532" "Low,High" textline " " bitfld.long 0x00 19. " IRQS531 ,IRQS Status Bit 531" "Low,High" bitfld.long 0x00 18. " IRQS530 ,IRQS Status Bit 530" "Low,High" bitfld.long 0x00 17. " IRQS529 ,IRQS Status Bit 529" "Low,High" textline " " bitfld.long 0x00 16. " IRQS528 ,IRQS Status Bit 528" "Low,High" bitfld.long 0x00 15. " IRQS527 ,IRQS Status Bit 527" "Low,High" bitfld.long 0x00 14. " IRQS526 ,IRQS Status Bit 526" "Low,High" textline " " bitfld.long 0x00 13. " IRQS525 ,IRQS Status Bit 525" "Low,High" bitfld.long 0x00 12. " IRQS524 ,IRQS Status Bit 524" "Low,High" bitfld.long 0x00 11. " IRQS523 ,IRQS Status Bit 523" "Low,High" textline " " bitfld.long 0x00 10. " IRQS522 ,IRQS Status Bit 522" "Low,High" bitfld.long 0x00 9. " IRQS521 ,IRQS Status Bit 521" "Low,High" bitfld.long 0x00 8. " IRQS520 ,IRQS Status Bit 520" "Low,High" textline " " bitfld.long 0x00 7. " IRQS519 ,IRQS Status Bit 519" "Low,High" bitfld.long 0x00 6. " IRQS518 ,IRQS Status Bit 518" "Low,High" bitfld.long 0x00 5. " IRQS517 ,IRQS Status Bit 517" "Low,High" textline " " bitfld.long 0x00 4. " IRQS516 ,IRQS Status Bit 516" "Low,High" bitfld.long 0x00 3. " IRQS515 ,IRQS Status Bit 515" "Low,High" bitfld.long 0x00 2. " IRQS514 ,IRQS Status Bit 514" "Low,High" textline " " bitfld.long 0x00 1. " IRQS513 ,IRQS Status Bit 513" "Low,High" bitfld.long 0x00 0. " IRQS512 ,IRQS Status Bit 512" "Low,High" else rgroup.long 0x0D44++0x03 line.long 0x0 "GICD_SPISR16,Shared Peripheral Interrupt Status Register 16" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x12) rgroup.long 0x0D48++0x03 line.long 0x0 "GICD_SPISR17,Shared Peripheral Interrupt Status Register 17" bitfld.long 0x00 31. " IRQS575 ,IRQS Status Bit 575" "Low,High" bitfld.long 0x00 30. " IRQS574 ,IRQS Status Bit 574" "Low,High" bitfld.long 0x00 29. " IRQS573 ,IRQS Status Bit 573" "Low,High" textline " " bitfld.long 0x00 28. " IRQS572 ,IRQS Status Bit 572" "Low,High" bitfld.long 0x00 27. " IRQS571 ,IRQS Status Bit 571" "Low,High" bitfld.long 0x00 26. " IRQS570 ,IRQS Status Bit 570" "Low,High" textline " " bitfld.long 0x00 25. " IRQS569 ,IRQS Status Bit 569" "Low,High" bitfld.long 0x00 24. " IRQS568 ,IRQS Status Bit 568" "Low,High" bitfld.long 0x00 23. " IRQS567 ,IRQS Status Bit 567" "Low,High" textline " " bitfld.long 0x00 22. " IRQS566 ,IRQS Status Bit 566" "Low,High" bitfld.long 0x00 21. " IRQS565 ,IRQS Status Bit 565" "Low,High" bitfld.long 0x00 20. " IRQS564 ,IRQS Status Bit 564" "Low,High" textline " " bitfld.long 0x00 19. " IRQS563 ,IRQS Status Bit 563" "Low,High" bitfld.long 0x00 18. " IRQS562 ,IRQS Status Bit 562" "Low,High" bitfld.long 0x00 17. " IRQS561 ,IRQS Status Bit 561" "Low,High" textline " " bitfld.long 0x00 16. " IRQS560 ,IRQS Status Bit 560" "Low,High" bitfld.long 0x00 15. " IRQS559 ,IRQS Status Bit 559" "Low,High" bitfld.long 0x00 14. " IRQS558 ,IRQS Status Bit 558" "Low,High" textline " " bitfld.long 0x00 13. " IRQS557 ,IRQS Status Bit 557" "Low,High" bitfld.long 0x00 12. " IRQS556 ,IRQS Status Bit 556" "Low,High" bitfld.long 0x00 11. " IRQS555 ,IRQS Status Bit 555" "Low,High" textline " " bitfld.long 0x00 10. " IRQS554 ,IRQS Status Bit 554" "Low,High" bitfld.long 0x00 9. " IRQS553 ,IRQS Status Bit 553" "Low,High" bitfld.long 0x00 8. " IRQS552 ,IRQS Status Bit 552" "Low,High" textline " " bitfld.long 0x00 7. " IRQS551 ,IRQS Status Bit 551" "Low,High" bitfld.long 0x00 6. " IRQS550 ,IRQS Status Bit 550" "Low,High" bitfld.long 0x00 5. " IRQS549 ,IRQS Status Bit 549" "Low,High" textline " " bitfld.long 0x00 4. " IRQS548 ,IRQS Status Bit 548" "Low,High" bitfld.long 0x00 3. " IRQS547 ,IRQS Status Bit 547" "Low,High" bitfld.long 0x00 2. " IRQS546 ,IRQS Status Bit 546" "Low,High" textline " " bitfld.long 0x00 1. " IRQS545 ,IRQS Status Bit 545" "Low,High" bitfld.long 0x00 0. " IRQS544 ,IRQS Status Bit 544" "Low,High" else rgroup.long 0x0D48++0x03 line.long 0x0 "GICD_SPISR17,Shared Peripheral Interrupt Status Register 17" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x13) rgroup.long 0x0D4C++0x03 line.long 0x0 "GICD_SPISR18,Shared Peripheral Interrupt Status Register 18" bitfld.long 0x00 31. " IRQS607 ,IRQS Status Bit 607" "Low,High" bitfld.long 0x00 30. " IRQS606 ,IRQS Status Bit 606" "Low,High" bitfld.long 0x00 29. " IRQS605 ,IRQS Status Bit 605" "Low,High" textline " " bitfld.long 0x00 28. " IRQS604 ,IRQS Status Bit 604" "Low,High" bitfld.long 0x00 27. " IRQS603 ,IRQS Status Bit 603" "Low,High" bitfld.long 0x00 26. " IRQS602 ,IRQS Status Bit 602" "Low,High" textline " " bitfld.long 0x00 25. " IRQS601 ,IRQS Status Bit 601" "Low,High" bitfld.long 0x00 24. " IRQS600 ,IRQS Status Bit 600" "Low,High" bitfld.long 0x00 23. " IRQS599 ,IRQS Status Bit 599" "Low,High" textline " " bitfld.long 0x00 22. " IRQS598 ,IRQS Status Bit 598" "Low,High" bitfld.long 0x00 21. " IRQS597 ,IRQS Status Bit 597" "Low,High" bitfld.long 0x00 20. " IRQS596 ,IRQS Status Bit 596" "Low,High" textline " " bitfld.long 0x00 19. " IRQS595 ,IRQS Status Bit 595" "Low,High" bitfld.long 0x00 18. " IRQS594 ,IRQS Status Bit 594" "Low,High" bitfld.long 0x00 17. " IRQS593 ,IRQS Status Bit 593" "Low,High" textline " " bitfld.long 0x00 16. " IRQS592 ,IRQS Status Bit 592" "Low,High" bitfld.long 0x00 15. " IRQS591 ,IRQS Status Bit 591" "Low,High" bitfld.long 0x00 14. " IRQS590 ,IRQS Status Bit 590" "Low,High" textline " " bitfld.long 0x00 13. " IRQS589 ,IRQS Status Bit 589" "Low,High" bitfld.long 0x00 12. " IRQS588 ,IRQS Status Bit 588" "Low,High" bitfld.long 0x00 11. " IRQS587 ,IRQS Status Bit 587" "Low,High" textline " " bitfld.long 0x00 10. " IRQS586 ,IRQS Status Bit 586" "Low,High" bitfld.long 0x00 9. " IRQS585 ,IRQS Status Bit 585" "Low,High" bitfld.long 0x00 8. " IRQS584 ,IRQS Status Bit 584" "Low,High" textline " " bitfld.long 0x00 7. " IRQS583 ,IRQS Status Bit 583" "Low,High" bitfld.long 0x00 6. " IRQS582 ,IRQS Status Bit 582" "Low,High" bitfld.long 0x00 5. " IRQS581 ,IRQS Status Bit 581" "Low,High" textline " " bitfld.long 0x00 4. " IRQS580 ,IRQS Status Bit 580" "Low,High" bitfld.long 0x00 3. " IRQS579 ,IRQS Status Bit 579" "Low,High" bitfld.long 0x00 2. " IRQS578 ,IRQS Status Bit 578" "Low,High" textline " " bitfld.long 0x00 1. " IRQS577 ,IRQS Status Bit 577" "Low,High" bitfld.long 0x00 0. " IRQS576 ,IRQS Status Bit 576" "Low,High" else rgroup.long 0x0D4C++0x03 line.long 0x0 "GICD_SPISR18,Shared Peripheral Interrupt Status Register 18" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x14) rgroup.long 0x0D50++0x03 line.long 0x0 "GICD_SPISR19,Shared Peripheral Interrupt Status Register 19" bitfld.long 0x00 31. " IRQS639 ,IRQS Status Bit 639" "Low,High" bitfld.long 0x00 30. " IRQS638 ,IRQS Status Bit 638" "Low,High" bitfld.long 0x00 29. " IRQS637 ,IRQS Status Bit 637" "Low,High" textline " " bitfld.long 0x00 28. " IRQS636 ,IRQS Status Bit 636" "Low,High" bitfld.long 0x00 27. " IRQS635 ,IRQS Status Bit 635" "Low,High" bitfld.long 0x00 26. " IRQS634 ,IRQS Status Bit 634" "Low,High" textline " " bitfld.long 0x00 25. " IRQS633 ,IRQS Status Bit 633" "Low,High" bitfld.long 0x00 24. " IRQS632 ,IRQS Status Bit 632" "Low,High" bitfld.long 0x00 23. " IRQS631 ,IRQS Status Bit 631" "Low,High" textline " " bitfld.long 0x00 22. " IRQS630 ,IRQS Status Bit 630" "Low,High" bitfld.long 0x00 21. " IRQS629 ,IRQS Status Bit 629" "Low,High" bitfld.long 0x00 20. " IRQS628 ,IRQS Status Bit 628" "Low,High" textline " " bitfld.long 0x00 19. " IRQS627 ,IRQS Status Bit 627" "Low,High" bitfld.long 0x00 18. " IRQS626 ,IRQS Status Bit 626" "Low,High" bitfld.long 0x00 17. " IRQS625 ,IRQS Status Bit 625" "Low,High" textline " " bitfld.long 0x00 16. " IRQS624 ,IRQS Status Bit 624" "Low,High" bitfld.long 0x00 15. " IRQS623 ,IRQS Status Bit 623" "Low,High" bitfld.long 0x00 14. " IRQS622 ,IRQS Status Bit 622" "Low,High" textline " " bitfld.long 0x00 13. " IRQS621 ,IRQS Status Bit 621" "Low,High" bitfld.long 0x00 12. " IRQS620 ,IRQS Status Bit 620" "Low,High" bitfld.long 0x00 11. " IRQS619 ,IRQS Status Bit 619" "Low,High" textline " " bitfld.long 0x00 10. " IRQS618 ,IRQS Status Bit 618" "Low,High" bitfld.long 0x00 9. " IRQS617 ,IRQS Status Bit 617" "Low,High" bitfld.long 0x00 8. " IRQS616 ,IRQS Status Bit 616" "Low,High" textline " " bitfld.long 0x00 7. " IRQS615 ,IRQS Status Bit 615" "Low,High" bitfld.long 0x00 6. " IRQS614 ,IRQS Status Bit 614" "Low,High" bitfld.long 0x00 5. " IRQS613 ,IRQS Status Bit 613" "Low,High" textline " " bitfld.long 0x00 4. " IRQS612 ,IRQS Status Bit 612" "Low,High" bitfld.long 0x00 3. " IRQS611 ,IRQS Status Bit 611" "Low,High" bitfld.long 0x00 2. " IRQS610 ,IRQS Status Bit 610" "Low,High" textline " " bitfld.long 0x00 1. " IRQS609 ,IRQS Status Bit 609" "Low,High" bitfld.long 0x00 0. " IRQS608 ,IRQS Status Bit 608" "Low,High" else rgroup.long 0x0D50++0x03 line.long 0x0 "GICD_SPISR19,Shared Peripheral Interrupt Status Register 19" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x15) rgroup.long 0x0D54++0x03 line.long 0x0 "GICD_SPISR20,Shared Peripheral Interrupt Status Register 20" bitfld.long 0x00 31. " IRQS671 ,IRQS Status Bit 671" "Low,High" bitfld.long 0x00 30. " IRQS670 ,IRQS Status Bit 670" "Low,High" bitfld.long 0x00 29. " IRQS669 ,IRQS Status Bit 669" "Low,High" textline " " bitfld.long 0x00 28. " IRQS668 ,IRQS Status Bit 668" "Low,High" bitfld.long 0x00 27. " IRQS667 ,IRQS Status Bit 667" "Low,High" bitfld.long 0x00 26. " IRQS666 ,IRQS Status Bit 666" "Low,High" textline " " bitfld.long 0x00 25. " IRQS665 ,IRQS Status Bit 665" "Low,High" bitfld.long 0x00 24. " IRQS664 ,IRQS Status Bit 664" "Low,High" bitfld.long 0x00 23. " IRQS663 ,IRQS Status Bit 663" "Low,High" textline " " bitfld.long 0x00 22. " IRQS662 ,IRQS Status Bit 662" "Low,High" bitfld.long 0x00 21. " IRQS661 ,IRQS Status Bit 661" "Low,High" bitfld.long 0x00 20. " IRQS660 ,IRQS Status Bit 660" "Low,High" textline " " bitfld.long 0x00 19. " IRQS659 ,IRQS Status Bit 659" "Low,High" bitfld.long 0x00 18. " IRQS658 ,IRQS Status Bit 658" "Low,High" bitfld.long 0x00 17. " IRQS657 ,IRQS Status Bit 657" "Low,High" textline " " bitfld.long 0x00 16. " IRQS656 ,IRQS Status Bit 656" "Low,High" bitfld.long 0x00 15. " IRQS655 ,IRQS Status Bit 655" "Low,High" bitfld.long 0x00 14. " IRQS654 ,IRQS Status Bit 654" "Low,High" textline " " bitfld.long 0x00 13. " IRQS653 ,IRQS Status Bit 653" "Low,High" bitfld.long 0x00 12. " IRQS652 ,IRQS Status Bit 652" "Low,High" bitfld.long 0x00 11. " IRQS651 ,IRQS Status Bit 651" "Low,High" textline " " bitfld.long 0x00 10. " IRQS650 ,IRQS Status Bit 650" "Low,High" bitfld.long 0x00 9. " IRQS649 ,IRQS Status Bit 649" "Low,High" bitfld.long 0x00 8. " IRQS648 ,IRQS Status Bit 648" "Low,High" textline " " bitfld.long 0x00 7. " IRQS647 ,IRQS Status Bit 647" "Low,High" bitfld.long 0x00 6. " IRQS646 ,IRQS Status Bit 646" "Low,High" bitfld.long 0x00 5. " IRQS645 ,IRQS Status Bit 645" "Low,High" textline " " bitfld.long 0x00 4. " IRQS644 ,IRQS Status Bit 644" "Low,High" bitfld.long 0x00 3. " IRQS643 ,IRQS Status Bit 643" "Low,High" bitfld.long 0x00 2. " IRQS642 ,IRQS Status Bit 642" "Low,High" textline " " bitfld.long 0x00 1. " IRQS641 ,IRQS Status Bit 641" "Low,High" bitfld.long 0x00 0. " IRQS640 ,IRQS Status Bit 640" "Low,High" else rgroup.long 0x0D54++0x03 line.long 0x0 "GICD_SPISR20,Shared Peripheral Interrupt Status Register 20" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x16) rgroup.long 0x0D58++0x03 line.long 0x0 "GICD_SPISR21,Shared Peripheral Interrupt Status Register 21" bitfld.long 0x00 31. " IRQS703 ,IRQS Status Bit 703" "Low,High" bitfld.long 0x00 30. " IRQS702 ,IRQS Status Bit 702" "Low,High" bitfld.long 0x00 29. " IRQS701 ,IRQS Status Bit 701" "Low,High" textline " " bitfld.long 0x00 28. " IRQS700 ,IRQS Status Bit 700" "Low,High" bitfld.long 0x00 27. " IRQS699 ,IRQS Status Bit 699" "Low,High" bitfld.long 0x00 26. " IRQS698 ,IRQS Status Bit 698" "Low,High" textline " " bitfld.long 0x00 25. " IRQS697 ,IRQS Status Bit 697" "Low,High" bitfld.long 0x00 24. " IRQS696 ,IRQS Status Bit 696" "Low,High" bitfld.long 0x00 23. " IRQS695 ,IRQS Status Bit 695" "Low,High" textline " " bitfld.long 0x00 22. " IRQS694 ,IRQS Status Bit 694" "Low,High" bitfld.long 0x00 21. " IRQS693 ,IRQS Status Bit 693" "Low,High" bitfld.long 0x00 20. " IRQS692 ,IRQS Status Bit 692" "Low,High" textline " " bitfld.long 0x00 19. " IRQS691 ,IRQS Status Bit 691" "Low,High" bitfld.long 0x00 18. " IRQS690 ,IRQS Status Bit 690" "Low,High" bitfld.long 0x00 17. " IRQS689 ,IRQS Status Bit 689" "Low,High" textline " " bitfld.long 0x00 16. " IRQS688 ,IRQS Status Bit 688" "Low,High" bitfld.long 0x00 15. " IRQS687 ,IRQS Status Bit 687" "Low,High" bitfld.long 0x00 14. " IRQS686 ,IRQS Status Bit 686" "Low,High" textline " " bitfld.long 0x00 13. " IRQS685 ,IRQS Status Bit 685" "Low,High" bitfld.long 0x00 12. " IRQS684 ,IRQS Status Bit 684" "Low,High" bitfld.long 0x00 11. " IRQS683 ,IRQS Status Bit 683" "Low,High" textline " " bitfld.long 0x00 10. " IRQS682 ,IRQS Status Bit 682" "Low,High" bitfld.long 0x00 9. " IRQS681 ,IRQS Status Bit 681" "Low,High" bitfld.long 0x00 8. " IRQS680 ,IRQS Status Bit 680" "Low,High" textline " " bitfld.long 0x00 7. " IRQS679 ,IRQS Status Bit 679" "Low,High" bitfld.long 0x00 6. " IRQS678 ,IRQS Status Bit 678" "Low,High" bitfld.long 0x00 5. " IRQS677 ,IRQS Status Bit 677" "Low,High" textline " " bitfld.long 0x00 4. " IRQS676 ,IRQS Status Bit 676" "Low,High" bitfld.long 0x00 3. " IRQS675 ,IRQS Status Bit 675" "Low,High" bitfld.long 0x00 2. " IRQS674 ,IRQS Status Bit 674" "Low,High" textline " " bitfld.long 0x00 1. " IRQS673 ,IRQS Status Bit 673" "Low,High" bitfld.long 0x00 0. " IRQS672 ,IRQS Status Bit 672" "Low,High" else rgroup.long 0x0D58++0x03 line.long 0x0 "GICD_SPISR21,Shared Peripheral Interrupt Status Register 21" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x17) rgroup.long 0x0D5C++0x03 line.long 0x0 "GICD_SPISR22,Shared Peripheral Interrupt Status Register 22" bitfld.long 0x00 31. " IRQS735 ,IRQS Status Bit 735" "Low,High" bitfld.long 0x00 30. " IRQS734 ,IRQS Status Bit 734" "Low,High" bitfld.long 0x00 29. " IRQS733 ,IRQS Status Bit 733" "Low,High" textline " " bitfld.long 0x00 28. " IRQS732 ,IRQS Status Bit 732" "Low,High" bitfld.long 0x00 27. " IRQS731 ,IRQS Status Bit 731" "Low,High" bitfld.long 0x00 26. " IRQS730 ,IRQS Status Bit 730" "Low,High" textline " " bitfld.long 0x00 25. " IRQS729 ,IRQS Status Bit 729" "Low,High" bitfld.long 0x00 24. " IRQS728 ,IRQS Status Bit 728" "Low,High" bitfld.long 0x00 23. " IRQS727 ,IRQS Status Bit 727" "Low,High" textline " " bitfld.long 0x00 22. " IRQS726 ,IRQS Status Bit 726" "Low,High" bitfld.long 0x00 21. " IRQS725 ,IRQS Status Bit 725" "Low,High" bitfld.long 0x00 20. " IRQS724 ,IRQS Status Bit 724" "Low,High" textline " " bitfld.long 0x00 19. " IRQS723 ,IRQS Status Bit 723" "Low,High" bitfld.long 0x00 18. " IRQS722 ,IRQS Status Bit 722" "Low,High" bitfld.long 0x00 17. " IRQS721 ,IRQS Status Bit 721" "Low,High" textline " " bitfld.long 0x00 16. " IRQS720 ,IRQS Status Bit 720" "Low,High" bitfld.long 0x00 15. " IRQS719 ,IRQS Status Bit 719" "Low,High" bitfld.long 0x00 14. " IRQS718 ,IRQS Status Bit 718" "Low,High" textline " " bitfld.long 0x00 13. " IRQS717 ,IRQS Status Bit 717" "Low,High" bitfld.long 0x00 12. " IRQS716 ,IRQS Status Bit 716" "Low,High" bitfld.long 0x00 11. " IRQS715 ,IRQS Status Bit 715" "Low,High" textline " " bitfld.long 0x00 10. " IRQS714 ,IRQS Status Bit 714" "Low,High" bitfld.long 0x00 9. " IRQS713 ,IRQS Status Bit 713" "Low,High" bitfld.long 0x00 8. " IRQS712 ,IRQS Status Bit 712" "Low,High" textline " " bitfld.long 0x00 7. " IRQS711 ,IRQS Status Bit 711" "Low,High" bitfld.long 0x00 6. " IRQS710 ,IRQS Status Bit 710" "Low,High" bitfld.long 0x00 5. " IRQS709 ,IRQS Status Bit 709" "Low,High" textline " " bitfld.long 0x00 4. " IRQS708 ,IRQS Status Bit 708" "Low,High" bitfld.long 0x00 3. " IRQS707 ,IRQS Status Bit 707" "Low,High" bitfld.long 0x00 2. " IRQS706 ,IRQS Status Bit 706" "Low,High" textline " " bitfld.long 0x00 1. " IRQS705 ,IRQS Status Bit 705" "Low,High" bitfld.long 0x00 0. " IRQS704 ,IRQS Status Bit 704" "Low,High" else rgroup.long 0x0D5C++0x03 line.long 0x0 "GICD_SPISR22,Shared Peripheral Interrupt Status Register 22" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x18) rgroup.long 0x060++0x03 line.long 0x0 "GICD_SPISR23,Shared Peripheral Interrupt Status Register 23" bitfld.long 0x00 31. " IRQS767 ,IRQS Status Bit 767" "Low,High" bitfld.long 0x00 30. " IRQS766 ,IRQS Status Bit 766" "Low,High" bitfld.long 0x00 29. " IRQS765 ,IRQS Status Bit 765" "Low,High" textline " " bitfld.long 0x00 28. " IRQS764 ,IRQS Status Bit 764" "Low,High" bitfld.long 0x00 27. " IRQS763 ,IRQS Status Bit 763" "Low,High" bitfld.long 0x00 26. " IRQS762 ,IRQS Status Bit 762" "Low,High" textline " " bitfld.long 0x00 25. " IRQS761 ,IRQS Status Bit 761" "Low,High" bitfld.long 0x00 24. " IRQS760 ,IRQS Status Bit 760" "Low,High" bitfld.long 0x00 23. " IRQS759 ,IRQS Status Bit 759" "Low,High" textline " " bitfld.long 0x00 22. " IRQS758 ,IRQS Status Bit 758" "Low,High" bitfld.long 0x00 21. " IRQS757 ,IRQS Status Bit 757" "Low,High" bitfld.long 0x00 20. " IRQS756 ,IRQS Status Bit 756" "Low,High" textline " " bitfld.long 0x00 19. " IRQS755 ,IRQS Status Bit 755" "Low,High" bitfld.long 0x00 18. " IRQS754 ,IRQS Status Bit 754" "Low,High" bitfld.long 0x00 17. " IRQS753 ,IRQS Status Bit 753" "Low,High" textline " " bitfld.long 0x00 16. " IRQS752 ,IRQS Status Bit 752" "Low,High" bitfld.long 0x00 15. " IRQS751 ,IRQS Status Bit 751" "Low,High" bitfld.long 0x00 14. " IRQS750 ,IRQS Status Bit 750" "Low,High" textline " " bitfld.long 0x00 13. " IRQS749 ,IRQS Status Bit 749" "Low,High" bitfld.long 0x00 12. " IRQS748 ,IRQS Status Bit 748" "Low,High" bitfld.long 0x00 11. " IRQS747 ,IRQS Status Bit 747" "Low,High" textline " " bitfld.long 0x00 10. " IRQS746 ,IRQS Status Bit 746" "Low,High" bitfld.long 0x00 9. " IRQS745 ,IRQS Status Bit 745" "Low,High" bitfld.long 0x00 8. " IRQS744 ,IRQS Status Bit 744" "Low,High" textline " " bitfld.long 0x00 7. " IRQS743 ,IRQS Status Bit 743" "Low,High" bitfld.long 0x00 6. " IRQS742 ,IRQS Status Bit 742" "Low,High" bitfld.long 0x00 5. " IRQS741 ,IRQS Status Bit 741" "Low,High" textline " " bitfld.long 0x00 4. " IRQS740 ,IRQS Status Bit 740" "Low,High" bitfld.long 0x00 3. " IRQS739 ,IRQS Status Bit 739" "Low,High" bitfld.long 0x00 2. " IRQS738 ,IRQS Status Bit 738" "Low,High" textline " " bitfld.long 0x00 1. " IRQS737 ,IRQS Status Bit 737" "Low,High" bitfld.long 0x00 0. " IRQS736 ,IRQS Status Bit 736" "Low,High" else rgroup.long 0x0D60++0x03 line.long 0x0 "GICD_SPISR23,Shared Peripheral Interrupt Status Register 23" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x19) rgroup.long 0x0D64++0x03 line.long 0x0 "GICD_SPISR24,Shared Peripheral Interrupt Status Register 24" bitfld.long 0x00 31. " IRQS799 ,IRQS Status Bit 799" "Low,High" bitfld.long 0x00 30. " IRQS798 ,IRQS Status Bit 798" "Low,High" bitfld.long 0x00 29. " IRQS797 ,IRQS Status Bit 797" "Low,High" textline " " bitfld.long 0x00 28. " IRQS796 ,IRQS Status Bit 796" "Low,High" bitfld.long 0x00 27. " IRQS795 ,IRQS Status Bit 795" "Low,High" bitfld.long 0x00 26. " IRQS794 ,IRQS Status Bit 794" "Low,High" textline " " bitfld.long 0x00 25. " IRQS793 ,IRQS Status Bit 793" "Low,High" bitfld.long 0x00 24. " IRQS792 ,IRQS Status Bit 792" "Low,High" bitfld.long 0x00 23. " IRQS791 ,IRQS Status Bit 791" "Low,High" textline " " bitfld.long 0x00 22. " IRQS790 ,IRQS Status Bit 790" "Low,High" bitfld.long 0x00 21. " IRQS789 ,IRQS Status Bit 789" "Low,High" bitfld.long 0x00 20. " IRQS788 ,IRQS Status Bit 788" "Low,High" textline " " bitfld.long 0x00 19. " IRQS787 ,IRQS Status Bit 787" "Low,High" bitfld.long 0x00 18. " IRQS786 ,IRQS Status Bit 786" "Low,High" bitfld.long 0x00 17. " IRQS785 ,IRQS Status Bit 785" "Low,High" textline " " bitfld.long 0x00 16. " IRQS784 ,IRQS Status Bit 784" "Low,High" bitfld.long 0x00 15. " IRQS783 ,IRQS Status Bit 783" "Low,High" bitfld.long 0x00 14. " IRQS782 ,IRQS Status Bit 782" "Low,High" textline " " bitfld.long 0x00 13. " IRQS781 ,IRQS Status Bit 781" "Low,High" bitfld.long 0x00 12. " IRQS780 ,IRQS Status Bit 780" "Low,High" bitfld.long 0x00 11. " IRQS779 ,IRQS Status Bit 779" "Low,High" textline " " bitfld.long 0x00 10. " IRQS778 ,IRQS Status Bit 778" "Low,High" bitfld.long 0x00 9. " IRQS777 ,IRQS Status Bit 777" "Low,High" bitfld.long 0x00 8. " IRQS776 ,IRQS Status Bit 776" "Low,High" textline " " bitfld.long 0x00 7. " IRQS775 ,IRQS Status Bit 775" "Low,High" bitfld.long 0x00 6. " IRQS774 ,IRQS Status Bit 774" "Low,High" bitfld.long 0x00 5. " IRQS773 ,IRQS Status Bit 773" "Low,High" textline " " bitfld.long 0x00 4. " IRQS772 ,IRQS Status Bit 772" "Low,High" bitfld.long 0x00 3. " IRQS771 ,IRQS Status Bit 771" "Low,High" bitfld.long 0x00 2. " IRQS770 ,IRQS Status Bit 770" "Low,High" textline " " bitfld.long 0x00 1. " IRQS769 ,IRQS Status Bit 769" "Low,High" bitfld.long 0x00 0. " IRQS768 ,IRQS Status Bit 768" "Low,High" else rgroup.long 0x0D64++0x03 line.long 0x0 "GICD_SPISR24,Shared Peripheral Interrupt Status Register 24" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1A) rgroup.long 0x0D68++0x03 line.long 0x0 "GICD_SPISR25,Shared Peripheral Interrupt Status Register 25" bitfld.long 0x00 31. " IRQS831 ,IRQS Status Bit 831" "Low,High" bitfld.long 0x00 30. " IRQS830 ,IRQS Status Bit 830" "Low,High" bitfld.long 0x00 29. " IRQS829 ,IRQS Status Bit 829" "Low,High" textline " " bitfld.long 0x00 28. " IRQS828 ,IRQS Status Bit 828" "Low,High" bitfld.long 0x00 27. " IRQS827 ,IRQS Status Bit 827" "Low,High" bitfld.long 0x00 26. " IRQS826 ,IRQS Status Bit 826" "Low,High" textline " " bitfld.long 0x00 25. " IRQS825 ,IRQS Status Bit 825" "Low,High" bitfld.long 0x00 24. " IRQS824 ,IRQS Status Bit 824" "Low,High" bitfld.long 0x00 23. " IRQS823 ,IRQS Status Bit 823" "Low,High" textline " " bitfld.long 0x00 22. " IRQS822 ,IRQS Status Bit 822" "Low,High" bitfld.long 0x00 21. " IRQS821 ,IRQS Status Bit 821" "Low,High" bitfld.long 0x00 20. " IRQS820 ,IRQS Status Bit 820" "Low,High" textline " " bitfld.long 0x00 19. " IRQS819 ,IRQS Status Bit 819" "Low,High" bitfld.long 0x00 18. " IRQS818 ,IRQS Status Bit 818" "Low,High" bitfld.long 0x00 17. " IRQS817 ,IRQS Status Bit 817" "Low,High" textline " " bitfld.long 0x00 16. " IRQS816 ,IRQS Status Bit 816" "Low,High" bitfld.long 0x00 15. " IRQS815 ,IRQS Status Bit 815" "Low,High" bitfld.long 0x00 14. " IRQS814 ,IRQS Status Bit 814" "Low,High" textline " " bitfld.long 0x00 13. " IRQS813 ,IRQS Status Bit 813" "Low,High" bitfld.long 0x00 12. " IRQS812 ,IRQS Status Bit 812" "Low,High" bitfld.long 0x00 11. " IRQS811 ,IRQS Status Bit 811" "Low,High" textline " " bitfld.long 0x00 10. " IRQS810 ,IRQS Status Bit 810" "Low,High" bitfld.long 0x00 9. " IRQS809 ,IRQS Status Bit 809" "Low,High" bitfld.long 0x00 8. " IRQS808 ,IRQS Status Bit 808" "Low,High" textline " " bitfld.long 0x00 7. " IRQS807 ,IRQS Status Bit 807" "Low,High" bitfld.long 0x00 6. " IRQS806 ,IRQS Status Bit 806" "Low,High" bitfld.long 0x00 5. " IRQS805 ,IRQS Status Bit 805" "Low,High" textline " " bitfld.long 0x00 4. " IRQS804 ,IRQS Status Bit 804" "Low,High" bitfld.long 0x00 3. " IRQS803 ,IRQS Status Bit 803" "Low,High" bitfld.long 0x00 2. " IRQS802 ,IRQS Status Bit 802" "Low,High" textline " " bitfld.long 0x00 1. " IRQS801 ,IRQS Status Bit 801" "Low,High" bitfld.long 0x00 0. " IRQS800 ,IRQS Status Bit 800" "Low,High" else rgroup.long 0x0D68++0x03 line.long 0x0 "GICD_SPISR25,Shared Peripheral Interrupt Status Register 25" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1B) rgroup.long 0x0D6C++0x03 line.long 0x0 "GICD_SPISR26,Shared Peripheral Interrupt Status Register 26" bitfld.long 0x00 31. " IRQS863 ,IRQS Status Bit 863" "Low,High" bitfld.long 0x00 30. " IRQS862 ,IRQS Status Bit 862" "Low,High" bitfld.long 0x00 29. " IRQS861 ,IRQS Status Bit 861" "Low,High" textline " " bitfld.long 0x00 28. " IRQS860 ,IRQS Status Bit 860" "Low,High" bitfld.long 0x00 27. " IRQS859 ,IRQS Status Bit 859" "Low,High" bitfld.long 0x00 26. " IRQS858 ,IRQS Status Bit 858" "Low,High" textline " " bitfld.long 0x00 25. " IRQS857 ,IRQS Status Bit 857" "Low,High" bitfld.long 0x00 24. " IRQS856 ,IRQS Status Bit 856" "Low,High" bitfld.long 0x00 23. " IRQS855 ,IRQS Status Bit 855" "Low,High" textline " " bitfld.long 0x00 22. " IRQS854 ,IRQS Status Bit 854" "Low,High" bitfld.long 0x00 21. " IRQS853 ,IRQS Status Bit 853" "Low,High" bitfld.long 0x00 20. " IRQS852 ,IRQS Status Bit 852" "Low,High" textline " " bitfld.long 0x00 19. " IRQS851 ,IRQS Status Bit 851" "Low,High" bitfld.long 0x00 18. " IRQS850 ,IRQS Status Bit 850" "Low,High" bitfld.long 0x00 17. " IRQS849 ,IRQS Status Bit 849" "Low,High" textline " " bitfld.long 0x00 16. " IRQS848 ,IRQS Status Bit 848" "Low,High" bitfld.long 0x00 15. " IRQS847 ,IRQS Status Bit 847" "Low,High" bitfld.long 0x00 14. " IRQS846 ,IRQS Status Bit 846" "Low,High" textline " " bitfld.long 0x00 13. " IRQS845 ,IRQS Status Bit 845" "Low,High" bitfld.long 0x00 12. " IRQS844 ,IRQS Status Bit 844" "Low,High" bitfld.long 0x00 11. " IRQS843 ,IRQS Status Bit 843" "Low,High" textline " " bitfld.long 0x00 10. " IRQS842 ,IRQS Status Bit 842" "Low,High" bitfld.long 0x00 9. " IRQS841 ,IRQS Status Bit 841" "Low,High" bitfld.long 0x00 8. " IRQS840 ,IRQS Status Bit 840" "Low,High" textline " " bitfld.long 0x00 7. " IRQS839 ,IRQS Status Bit 839" "Low,High" bitfld.long 0x00 6. " IRQS838 ,IRQS Status Bit 838" "Low,High" bitfld.long 0x00 5. " IRQS837 ,IRQS Status Bit 837" "Low,High" textline " " bitfld.long 0x00 4. " IRQS836 ,IRQS Status Bit 836" "Low,High" bitfld.long 0x00 3. " IRQS835 ,IRQS Status Bit 835" "Low,High" bitfld.long 0x00 2. " IRQS834 ,IRQS Status Bit 834" "Low,High" textline " " bitfld.long 0x00 1. " IRQS833 ,IRQS Status Bit 833" "Low,High" bitfld.long 0x00 0. " IRQS832 ,IRQS Status Bit 832" "Low,High" else rgroup.long 0x0D6C++0x03 line.long 0x0 "GICD_SPISR26,Shared Peripheral Interrupt Status Register 26" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1C) rgroup.long 0x0D70++0x03 line.long 0x0 "GICD_SPISR27,Shared Peripheral Interrupt Status Register 27" bitfld.long 0x00 31. " IRQS895 ,IRQS Status Bit 895" "Low,High" bitfld.long 0x00 30. " IRQS894 ,IRQS Status Bit 894" "Low,High" bitfld.long 0x00 29. " IRQS893 ,IRQS Status Bit 893" "Low,High" textline " " bitfld.long 0x00 28. " IRQS892 ,IRQS Status Bit 892" "Low,High" bitfld.long 0x00 27. " IRQS891 ,IRQS Status Bit 891" "Low,High" bitfld.long 0x00 26. " IRQS890 ,IRQS Status Bit 890" "Low,High" textline " " bitfld.long 0x00 25. " IRQS889 ,IRQS Status Bit 889" "Low,High" bitfld.long 0x00 24. " IRQS888 ,IRQS Status Bit 888" "Low,High" bitfld.long 0x00 23. " IRQS887 ,IRQS Status Bit 887" "Low,High" textline " " bitfld.long 0x00 22. " IRQS886 ,IRQS Status Bit 886" "Low,High" bitfld.long 0x00 21. " IRQS885 ,IRQS Status Bit 885" "Low,High" bitfld.long 0x00 20. " IRQS884 ,IRQS Status Bit 884" "Low,High" textline " " bitfld.long 0x00 19. " IRQS883 ,IRQS Status Bit 883" "Low,High" bitfld.long 0x00 18. " IRQS882 ,IRQS Status Bit 882" "Low,High" bitfld.long 0x00 17. " IRQS881 ,IRQS Status Bit 881" "Low,High" textline " " bitfld.long 0x00 16. " IRQS880 ,IRQS Status Bit 880" "Low,High" bitfld.long 0x00 15. " IRQS879 ,IRQS Status Bit 879" "Low,High" bitfld.long 0x00 14. " IRQS878 ,IRQS Status Bit 878" "Low,High" textline " " bitfld.long 0x00 13. " IRQS877 ,IRQS Status Bit 877" "Low,High" bitfld.long 0x00 12. " IRQS876 ,IRQS Status Bit 876" "Low,High" bitfld.long 0x00 11. " IRQS875 ,IRQS Status Bit 875" "Low,High" textline " " bitfld.long 0x00 10. " IRQS874 ,IRQS Status Bit 874" "Low,High" bitfld.long 0x00 9. " IRQS873 ,IRQS Status Bit 873" "Low,High" bitfld.long 0x00 8. " IRQS872 ,IRQS Status Bit 872" "Low,High" textline " " bitfld.long 0x00 7. " IRQS871 ,IRQS Status Bit 871" "Low,High" bitfld.long 0x00 6. " IRQS870 ,IRQS Status Bit 870" "Low,High" bitfld.long 0x00 5. " IRQS869 ,IRQS Status Bit 869" "Low,High" textline " " bitfld.long 0x00 4. " IRQS868 ,IRQS Status Bit 868" "Low,High" bitfld.long 0x00 3. " IRQS867 ,IRQS Status Bit 867" "Low,High" bitfld.long 0x00 2. " IRQS866 ,IRQS Status Bit 866" "Low,High" textline " " bitfld.long 0x00 1. " IRQS865 ,IRQS Status Bit 865" "Low,High" bitfld.long 0x00 0. " IRQS864 ,IRQS Status Bit 864" "Low,High" else rgroup.long 0x0D70++0x03 line.long 0x0 "GICD_SPISR27,Shared Peripheral Interrupt Status Register 27" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1D) rgroup.long 0x0D74++0x03 line.long 0x0 "GICD_SPISR28,Shared Peripheral Interrupt Status Register 28" bitfld.long 0x00 31. " IRQS927 ,IRQS Status Bit 927" "Low,High" bitfld.long 0x00 30. " IRQS926 ,IRQS Status Bit 926" "Low,High" bitfld.long 0x00 29. " IRQS925 ,IRQS Status Bit 925" "Low,High" textline " " bitfld.long 0x00 28. " IRQS924 ,IRQS Status Bit 924" "Low,High" bitfld.long 0x00 27. " IRQS923 ,IRQS Status Bit 923" "Low,High" bitfld.long 0x00 26. " IRQS922 ,IRQS Status Bit 922" "Low,High" textline " " bitfld.long 0x00 25. " IRQS921 ,IRQS Status Bit 921" "Low,High" bitfld.long 0x00 24. " IRQS920 ,IRQS Status Bit 920" "Low,High" bitfld.long 0x00 23. " IRQS919 ,IRQS Status Bit 919" "Low,High" textline " " bitfld.long 0x00 22. " IRQS918 ,IRQS Status Bit 918" "Low,High" bitfld.long 0x00 21. " IRQS917 ,IRQS Status Bit 917" "Low,High" bitfld.long 0x00 20. " IRQS916 ,IRQS Status Bit 916" "Low,High" textline " " bitfld.long 0x00 19. " IRQS915 ,IRQS Status Bit 915" "Low,High" bitfld.long 0x00 18. " IRQS914 ,IRQS Status Bit 914" "Low,High" bitfld.long 0x00 17. " IRQS913 ,IRQS Status Bit 913" "Low,High" textline " " bitfld.long 0x00 16. " IRQS912 ,IRQS Status Bit 912" "Low,High" bitfld.long 0x00 15. " IRQS911 ,IRQS Status Bit 911" "Low,High" bitfld.long 0x00 14. " IRQS910 ,IRQS Status Bit 910" "Low,High" textline " " bitfld.long 0x00 13. " IRQS909 ,IRQS Status Bit 909" "Low,High" bitfld.long 0x00 12. " IRQS908 ,IRQS Status Bit 908" "Low,High" bitfld.long 0x00 11. " IRQS907 ,IRQS Status Bit 907" "Low,High" textline " " bitfld.long 0x00 10. " IRQS906 ,IRQS Status Bit 906" "Low,High" bitfld.long 0x00 9. " IRQS905 ,IRQS Status Bit 905" "Low,High" bitfld.long 0x00 8. " IRQS904 ,IRQS Status Bit 904" "Low,High" textline " " bitfld.long 0x00 7. " IRQS903 ,IRQS Status Bit 903" "Low,High" bitfld.long 0x00 6. " IRQS902 ,IRQS Status Bit 902" "Low,High" bitfld.long 0x00 5. " IRQS901 ,IRQS Status Bit 901" "Low,High" textline " " bitfld.long 0x00 4. " IRQS900 ,IRQS Status Bit 900" "Low,High" bitfld.long 0x00 3. " IRQS899 ,IRQS Status Bit 899" "Low,High" bitfld.long 0x00 2. " IRQS898 ,IRQS Status Bit 898" "Low,High" textline " " bitfld.long 0x00 1. " IRQS897 ,IRQS Status Bit 897" "Low,High" bitfld.long 0x00 0. " IRQS896 ,IRQS Status Bit 896" "Low,High" else rgroup.long 0x0D74++0x03 line.long 0x0 "GICD_SPISR28,Shared Peripheral Interrupt Status Register 28" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1E) rgroup.long 0x0D78++0x03 line.long 0x0 "GICD_SPISR29,Shared Peripheral Interrupt Status Register 29" bitfld.long 0x00 31. " IRQS959 ,IRQS Status Bit 959" "Low,High" bitfld.long 0x00 30. " IRQS958 ,IRQS Status Bit 958" "Low,High" bitfld.long 0x00 29. " IRQS957 ,IRQS Status Bit 957" "Low,High" textline " " bitfld.long 0x00 28. " IRQS956 ,IRQS Status Bit 956" "Low,High" bitfld.long 0x00 27. " IRQS955 ,IRQS Status Bit 955" "Low,High" bitfld.long 0x00 26. " IRQS954 ,IRQS Status Bit 954" "Low,High" textline " " bitfld.long 0x00 25. " IRQS953 ,IRQS Status Bit 953" "Low,High" bitfld.long 0x00 24. " IRQS952 ,IRQS Status Bit 952" "Low,High" bitfld.long 0x00 23. " IRQS951 ,IRQS Status Bit 951" "Low,High" textline " " bitfld.long 0x00 22. " IRQS950 ,IRQS Status Bit 950" "Low,High" bitfld.long 0x00 21. " IRQS949 ,IRQS Status Bit 949" "Low,High" bitfld.long 0x00 20. " IRQS948 ,IRQS Status Bit 948" "Low,High" textline " " bitfld.long 0x00 19. " IRQS947 ,IRQS Status Bit 947" "Low,High" bitfld.long 0x00 18. " IRQS946 ,IRQS Status Bit 946" "Low,High" bitfld.long 0x00 17. " IRQS945 ,IRQS Status Bit 945" "Low,High" textline " " bitfld.long 0x00 16. " IRQS944 ,IRQS Status Bit 944" "Low,High" bitfld.long 0x00 15. " IRQS943 ,IRQS Status Bit 943" "Low,High" bitfld.long 0x00 14. " IRQS942 ,IRQS Status Bit 942" "Low,High" textline " " bitfld.long 0x00 13. " IRQS941 ,IRQS Status Bit 941" "Low,High" bitfld.long 0x00 12. " IRQS940 ,IRQS Status Bit 940" "Low,High" bitfld.long 0x00 11. " IRQS939 ,IRQS Status Bit 939" "Low,High" textline " " bitfld.long 0x00 10. " IRQS938 ,IRQS Status Bit 938" "Low,High" bitfld.long 0x00 9. " IRQS937 ,IRQS Status Bit 937" "Low,High" bitfld.long 0x00 8. " IRQS936 ,IRQS Status Bit 936" "Low,High" textline " " bitfld.long 0x00 7. " IRQS935 ,IRQS Status Bit 935" "Low,High" bitfld.long 0x00 6. " IRQS934 ,IRQS Status Bit 934" "Low,High" bitfld.long 0x00 5. " IRQS933 ,IRQS Status Bit 933" "Low,High" textline " " bitfld.long 0x00 4. " IRQS932 ,IRQS Status Bit 932" "Low,High" bitfld.long 0x00 3. " IRQS931 ,IRQS Status Bit 931" "Low,High" bitfld.long 0x00 2. " IRQS930 ,IRQS Status Bit 930" "Low,High" textline " " bitfld.long 0x00 1. " IRQS929 ,IRQS Status Bit 929" "Low,High" bitfld.long 0x00 0. " IRQS928 ,IRQS Status Bit 928" "Low,High" else rgroup.long 0x0D78++0x03 line.long 0x0 "GICD_SPISR29,Shared Peripheral Interrupt Status Register 29" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x0000001F)>=0x1F) rgroup.long 0x0D7C++0x03 line.long 0x0 "GICD_SPISR30,Shared Peripheral Interrupt Status Register 30" bitfld.long 0x00 27. " IRQS987 ,IRQS Status Bit 987" "Low,High" bitfld.long 0x00 26. " IRQS986 ,IRQS Status Bit 986" "Low,High" textline " " bitfld.long 0x00 25. " IRQS985 ,IRQS Status Bit 985" "Low,High" bitfld.long 0x00 24. " IRQS984 ,IRQS Status Bit 984" "Low,High" bitfld.long 0x00 23. " IRQS983 ,IRQS Status Bit 983" "Low,High" textline " " bitfld.long 0x00 22. " IRQS982 ,IRQS Status Bit 982" "Low,High" bitfld.long 0x00 21. " IRQS981 ,IRQS Status Bit 981" "Low,High" bitfld.long 0x00 20. " IRQS980 ,IRQS Status Bit 980" "Low,High" textline " " bitfld.long 0x00 19. " IRQS979 ,IRQS Status Bit 979" "Low,High" bitfld.long 0x00 18. " IRQS978 ,IRQS Status Bit 978" "Low,High" bitfld.long 0x00 17. " IRQS977 ,IRQS Status Bit 977" "Low,High" textline " " bitfld.long 0x00 16. " IRQS976 ,IRQS Status Bit 976" "Low,High" bitfld.long 0x00 15. " IRQS975 ,IRQS Status Bit 975" "Low,High" bitfld.long 0x00 14. " IRQS974 ,IRQS Status Bit 974" "Low,High" textline " " bitfld.long 0x00 13. " IRQS973 ,IRQS Status Bit 973" "Low,High" bitfld.long 0x00 12. " IRQS972 ,IRQS Status Bit 972" "Low,High" bitfld.long 0x00 11. " IRQS971 ,IRQS Status Bit 971" "Low,High" textline " " bitfld.long 0x00 10. " IRQS970 ,IRQS Status Bit 970" "Low,High" bitfld.long 0x00 9. " IRQS969 ,IRQS Status Bit 969" "Low,High" bitfld.long 0x00 8. " IRQS968 ,IRQS Status Bit 968" "Low,High" textline " " bitfld.long 0x00 7. " IRQS967 ,IRQS Status Bit 967" "Low,High" bitfld.long 0x00 6. " IRQS966 ,IRQS Status Bit 966" "Low,High" bitfld.long 0x00 5. " IRQS965 ,IRQS Status Bit 965" "Low,High" textline " " bitfld.long 0x00 4. " IRQS964 ,IRQS Status Bit 964" "Low,High" bitfld.long 0x00 3. " IRQS963 ,IRQS Status Bit 963" "Low,High" bitfld.long 0x00 2. " IRQS962 ,IRQS Status Bit 962" "Low,High" textline " " bitfld.long 0x00 1. " IRQS961 ,IRQS Status Bit 961" "Low,High" bitfld.long 0x00 0. " IRQS960 ,IRQS Status Bit 960" "Low,High" else rgroup.long 0x0D7C++0x03 line.long 0x0 "GICD_SPISR30,Shared Peripheral Interrupt Status Register 30" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif tree.end width 25. tree "Software Generated Interrupt" if (((per.l(ad:(per.long(spr:0x31F30)&0xFFFC0000)+0x04))&0x400)==0x400) wgroup.long 0x0F00++0x03 line.long 0x00 "GICD_SGIR,Software Generated Interrupt Register" bitfld.long 0x00 24.--25. " TLF ,Target List Filter" "TargetList,All CPUs,Request CPU,?..." hexmask.long.byte 0x00 16.--23. 1. " CPUTL ,CPU Target List" textline " " bitfld.long 0x00 15. " NSATT ,NSATT" "Secure,Non-secure" bitfld.long 0x00 0.--3. " SGINTID ,SGI Interrupt ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else wgroup.long 0x0F00++0x03 line.long 0x00 "GICD_SGIR,Software Generated Interrupt Register" bitfld.long 0x00 24.--25. " TLF ,Target List Filter" "TargetList,All CPUs,Request CPU,?..." hexmask.long.byte 0x00 16.--23. 1. " CPUTL ,CPU Target List" textline " " bitfld.long 0x00 0.--3. " SGINTID ,SGI Interrupt ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif group.long 0x0F20++0x03 line.long 0x00 "GICD_SET/CLR_PENDSGIR0,SGI Set/Clear Pending Register 0" setclrfld.long 0x00 31. 0x00 31. -0x10 31. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. -0x10 30. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. -0x10 29. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. -0x10 28. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. -0x10 27. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. -0x10 26. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. -0x10 25. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. -0x10 24. " SET/CLR_SGI0 ,SGI0 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. -0x10 23. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. -0x10 22. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. -0x10 21. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. -0x10 20. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. -0x10 19. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. -0x10 18. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. -0x10 17. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. -0x10 16. " SET/CLR_SGI1 ,SGI1 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. -0x10 15. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. -0x10 14. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. -0x10 13. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. -0x10 12. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. -0x10 11. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. -0x10 10. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. -0x10 9. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. -0x10 8. " SET/CLR_SGI2 ,SGI2 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. -0x10 7. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. -0x10 6. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. -0x10 5. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. -0x10 4. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. -0x10 3. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. -0x10 2. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. -0x10 1. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. -0x10 0. " SET/CLR_SGI3 ,SGI3 Set/Clear Pending Bit" "Disabled,Enabled" group.long 0x0F24++0x03 line.long 0x00 "GICD_SET/CLR_PENDSGIR1,SGI Set/Clear Pending Register 1" setclrfld.long 0x00 31. 0x00 31. -0x10 31. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. -0x10 30. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. -0x10 29. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. -0x10 28. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. -0x10 27. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. -0x10 26. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. -0x10 25. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. -0x10 24. " SET/CLR_SGI4 ,SGI4 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. -0x10 23. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. -0x10 22. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. -0x10 21. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. -0x10 20. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. -0x10 19. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. -0x10 18. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. -0x10 17. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. -0x10 16. " SET/CLR_SGI5 ,SGI5 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. -0x10 15. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. -0x10 14. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. -0x10 13. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. -0x10 12. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. -0x10 11. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. -0x10 10. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. -0x10 9. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. -0x10 8. " SET/CLR_SGI6 ,SGI6 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. -0x10 7. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. -0x10 6. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. -0x10 5. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. -0x10 4. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. -0x10 3. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. -0x10 2. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. -0x10 1. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. -0x10 0. " SET/CLR_SGI7 ,SGI7 Set/Clear Pending Bit" "Disabled,Enabled" group.long 0x0F28++0x03 line.long 0x00 "GICD_SET/CLR_PENDSGIR2,SGI Set/Clear Pending Register 2" setclrfld.long 0x00 31. 0x00 31. -0x10 31. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. -0x10 30. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. -0x10 29. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. -0x10 28. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. -0x10 27. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. -0x10 26. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. -0x10 25. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. -0x10 24. " SET/CLR_SGI8 ,SGI8 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. -0x10 23. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. -0x10 22. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. -0x10 21. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. -0x10 20. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. -0x10 19. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. -0x10 18. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. -0x10 17. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. -0x10 16. " SET/CLR_SGI9 ,SGI9 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. -0x10 15. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. -0x10 14. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. -0x10 13. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. -0x10 12. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. -0x10 11. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. -0x10 10. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. -0x10 9. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. -0x10 8. " SET/CLR_SGI10 ,SGI10 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. -0x10 7. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. -0x10 6. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. -0x10 5. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. -0x10 4. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. -0x10 3. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. -0x10 2. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. -0x10 1. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. -0x10 0. " SET/CLR_SGI11 ,SGI11 Set/Clear Pending Bit" "Disabled,Enabled" group.long 0x0F2C++0x03 line.long 0x00 "GICD_SET/CLR_PENDSGIR2,SGI Set/Clear Pending Register 3" setclrfld.long 0x00 31. 0x00 31. -0x10 31. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. -0x10 30. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. -0x10 29. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. -0x10 28. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. -0x10 27. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. -0x10 26. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. -0x10 25. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. -0x10 24. " SET/CLR_SGI12 ,SGI12 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. -0x10 23. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. -0x10 22. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. -0x10 21. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. -0x10 20. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. -0x10 19. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. -0x10 18. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. -0x10 17. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. -0x10 16. " SET/CLR_SGI13 ,SGI13 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. -0x10 15. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. -0x10 14. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. -0x10 13. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. -0x10 12. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. -0x10 11. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. -0x10 10. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. -0x10 9. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. -0x10 8. " SET/CLR_SGI14 ,SGI14 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. -0x10 7. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. -0x10 6. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. -0x10 5. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. -0x10 4. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. -0x10 3. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. -0x10 2. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. -0x10 1. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. -0x10 0. " SET/CLR_SGI15 ,SGI15 Set/Clear Pending Bit" "Disabled,Enabled" tree.end width 12. tree "Peripheral/Component ID Registers" rgroup.byte 0x0FE0++0x00 line.byte 0x00 "GICD_PIDR0,Peripheral ID0 Register" hexmask.byte 0x00 0.--7. 1. " DEVID ,DevID field" rgroup.byte 0x0FE4++0x00 line.byte 0x00 "GICD_PIDR1,Peripheral ID1 Register" bitfld.byte 0x00 4.--7. " ARCHID ,ArchID field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.byte 0x00 0.--3. " DEVID ,DevID field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.byte 0x0FE8++0x00 line.byte 0x00 "GICD_PIDR2,Peripheral ID2 Register" bitfld.byte 0x00 4.--7. " ARCHREV ,ArchRev field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.byte 0x00 3. " UJEPCODE ,UsesJEPcode field" "Low,High" bitfld.byte 0x00 0.--2. " ARCHID ,ArchID field" "0,1,2,3,4,5,6,7" rgroup.byte 0x0FEC++0x00 line.byte 0x00 "GICD_PIDR3,Peripheral ID3 Register" bitfld.byte 0x00 4.--7. " REVID ,Revision field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.byte 0x0FD0++0x00 line.byte 0x00 "GICD_PIDR4,Peripheral ID4 Register" bitfld.byte 0x00 0.--3. " CC ,ContinuationCode field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.byte 0xFD4++0x00 line.byte 0x00 "GICD_PIDR5,Peripheral ID5 Register" rgroup.byte 0xFD8++0x00 line.byte 0x00 "GICD_PIDR6,Peripheral ID6 Register" rgroup.byte 0xFDC++0x00 line.byte 0x00 "GICD_PIDR7,Peripheral ID7 Register" textline " " rgroup.byte 0xFF0++0x00 line.byte 0x00 "GICD_CIDR0,Component ID0 Register" hexmask.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.byte 0xFF4++0x00 line.byte 0x00 "GICD_CIDR1,Component ID1 Register" hexmask.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.byte 0xFF8++0x00 line.byte 0x00 "GICD_CIDR2,Component ID2 Register" hexmask.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.byte 0xFFC++0x00 line.byte 0x00 "GICD_CIDR3,Component ID3 Register" hexmask.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" textline " " tree.end tree.end width 0x0B tree.end tree.end elif (CORENAME()=="CORTEXM33F") tree.close "Core Registers (Cortex-M33F)" AUTOINDENT.PUSH AUTOINDENT.OFF tree "System Control" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 12. group.long 0x08++0x03 line.long 0x00 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 29. " EXTEXCLALL ,Allows external exclusive operations to be used in a configuration with no MPU" "No,Yes" bitfld.long 0x00 12. " DISITMATBFLUSH ,Disables ITM and DWT ATB flush" "No,Yes" bitfld.long 0x00 10. " FPEXCODIS ,Disables FPU exception outputs" "No,Yes" textline " " bitfld.long 0x00 9. " DISOOFP ,Disables floating-point" "No,Yes" bitfld.long 0x00 2. " DISFOLD ,Disables dual-issue functionality" "No,Yes" bitfld.long 0x00 0. " DISMCYCINT ,Disables interruption of multi-cycle" "No,Yes" group.long 0x0C++0x0F line.long 0x00 "CPPWR,Coprocessor Power Control Register" bitfld.long 0x00 21. " SUS10 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 20. " SU10 ,This bit indicates and allows modification of whether the state associated with the floating point unit is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 15. " SUS7 ,State unknown Secure only" "Both states,Secure only" textline " " bitfld.long 0x00 14. " SU7 ,This bit indicates and allows modification of whether the state associated with the coprocessor 7 is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 13. " SUS6 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 12. " SU6 ,This bit indicates and allows modification of whether the state associated with the coprocessor 6 is permitted to become UNKNOWN" "Not permitted,Permitted" textline " " bitfld.long 0x00 11. " SUS5 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 10. " SU5 ,This bit indicates and allows modification of whether the state associated with the coprocessor 5 is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 9. " SUS4 ,State unknown Secure only" "Both states,Secure only" textline " " bitfld.long 0x00 8. " SU4 ,This bit indicates and allows modification of whether the state associated with the coprocessor 4 is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 7. " SUS3 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 6. " SU3 ,This bit indicates and allows modification of whether the state associated with the coprocessor 3 is permitted to become UNKNOWN" "Not permitted,Permitted" textline " " bitfld.long 0x00 5. " SUS2 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 4. " SU2 ,This bit indicates and allows modification of whether the state associated with the coprocessor 2 is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 3. " SUS1 ,State unknown Secure only" "Both states,Secure only" textline " " bitfld.long 0x00 2. " SU1 ,This bit indicates and allows modification of whether the state associated with the coprocessor 1 is permitted to become UNKNOWN" "Not permitted,Permitted" bitfld.long 0x00 1. " SUS0 ,State unknown Secure only" "Both states,Secure only" bitfld.long 0x00 0. " SU0 ,This bit indicates and allows modification of whether the state associated with the coprocessor 0 is permitted to become UNKNOWN" "Not permitted,Permitted" line.long 0x04 "SYST_CSR,SysTick Control and Status Register" rbitfld.long 0x04 16. " COUNTFLAG ,Counter Flag" "Not counted,Counted" bitfld.long 0x04 2. " CLKSOURCE ,SysTick clock source" "External,Core" bitfld.long 0x04 1. " TICKINT ,SysTick Handler" "No SysTick,SysTick" textline " " bitfld.long 0x04 0. " ENABLE ,Counter Enable" "Disabled,Enabled" line.long 0x08 "SYST_RVR,SysTick Reload Value Register" hexmask.long.tbyte 0x08 0.--23. 1. " RELOAD ,The value to load into the SYST_CVR when the counter reaches 0" line.long 0x0C "SYST_CVR,SysTick Current Value Register" hexmask.long.tbyte 0x0C 0.--23. 1. " CURRENT ,Current counter value" rgroup.long 0x1C++0x03 line.long 0x00 "SYST_CALIB,SysTick Calibration value Register" bitfld.long 0x00 31. " NOREF ,Indicates whether the implementation defined reference clock is implemented" "Implemented,Not implemented" bitfld.long 0x00 30. " SKEW ,Indicates whether the 10ms calibration value is exact" "Exact,Inexact" hexmask.long.tbyte 0x00 0.--23. 1. " TENMS ,Holds a reload value to be used for 10ms (100Hz) timing" rgroup.long 0xD00++0x03 line.long 0x00 "CPUID,CPUID Base Register" hexmask.long.byte 0x00 24.--31. 1. " IMPLEMENTER ,Indicates implementer" bitfld.long 0x00 20.--23. " VARIANT ,Indicates processor revision" "Revision 0,?..." bitfld.long 0x00 16.--19. " ARCHITECTURE ,Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv8-M w/ Main extension" textline " " hexmask.long.word 0x00 4.--15. 1. " PARTNO ,Indicates part number" bitfld.long 0x00 0.--3. " REVISION ,Indicates patch release" "Reserved,Reserved,Patch 2,?..." group.long 0xD04++0x23 line.long 0x00 "ICSR,Interrupt Control and State Register" setclrfld.long 0x00 31. 0x00 31. 0x00 30. " PENDNMISET , On writes allows the NMI exception to be set as pending. On reads indicates whether the NMI exception is pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x00 27. " PENDSVSET , On writes allows the PendSV exception for the selected Security state to be set as pending. On reads indicates whether the PendSV for the selected Security state exception is pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x00 25. " PENDSTSET ,On writes, sets the SysTick exception as pending. On reads, indicates the current state of the exception" "Not pending,Pending" textline " " bitfld.long 0x00 24. " STTNS ,Controls whether in a single SysTick implementation the SysTick is Secure or Non-secure" "Secure,Non-secure" rbitfld.long 0x00 23. " ISRPREEMPT ,Indicates whether a pending exception will be serviced on exit from debug halt state" "Disabled,Enabled" rbitfld.long 0x00 22. " ISRPENDING ,Indicates whether an external interrupt, generated by the NVIC, is pending" "Not pending,Pending" textline " " hexmask.long.word 0x00 12.--20. 1. " VECTPENDING ,The exception number of the highest priority pending and enabled interrupt" rbitfld.long 0x00 11. " RETTOBASE ,Indicates whether there is an active exception other than the exception indicated by the current value of the IPSR" "Present,Absent" hexmask.long.word 0x00 0.--8. 1. " VECTACTIVE ,The exception number of the current executing exception" line.long 0x04 "VTOR,Vector Table Offset Register" hexmask.long 0x04 7.--31. 0x80 " TBLOFF ,Bits[31:7] of the vector table address" line.long 0x08 "AIRCR,Application Interrupt and Reset Control Register" hexmask.long.word 0x08 16.--31. 1. " VECTKEYSTAT ,Vector Key" rbitfld.long 0x08 15. " ENDIANNESS ,Indicates the memory system endianness" "Little endian,Big endian" bitfld.long 0x08 14. " PRIS ,Prioritize Secure exceptions" "Disabled,Enabled" textline " " bitfld.long 0x08 13. " BFHFNMINS ,BusFault BusFault HardFault and NMI Non-secure enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " PRIGROUP ,Priority grouping. Group priority field bits/Subpriority field bits" "[7:1]/[0],[7:2]/[1:0],[7:3]/[2:0],[7:4]/[3:0],[7:5]/[4:0],[7:6]/[5:0],[7]/[6:0],-/[7:0]" bitfld.long 0x08 3. " SYSRESETREQS ,System reset request Secure only" "Both states,Secure only" textline " " bitfld.long 0x08 2. " SYSRESETREQ ,System reset request" "Not requested,Requested" bitfld.long 0x08 1. " VECTCLRACTIVE ,Writing 1 to this bit clears all active state information for fixed and configurable exceptions" "No effect,Clear" line.long 0x0C "SCR,System Control Register" bitfld.long 0x0C 4. " SEVONPEND ,Determines whether an interrupt transition from inactive state to pending state is a wakeup event" "Not wakeup,Wakeup" bitfld.long 0x0C 3. " SLEEPDEEPS ,Controls whether the SLEEPDEEP bit is only accessible from the secure state" "Both states,Secure only" bitfld.long 0x0C 2. " SLEEPDEEP ,Provides a qualifying hint indicating that waking from sleep might take longer" "Not deep sleep,Deep sleep" textline " " bitfld.long 0x0C 1. " SLEEPONEXIT ,Determines whether, on an exit from an ISR that returns to the base level of execution priority, the processor enters a sleep state" "Disabled,Enabled" line.long 0x10 "CCR,Configuration and Control Register" bitfld.long 0x10 18. " BP ,Branch prediction enable bit" "Disabled,Enabled" bitfld.long 0x10 17. " IC ,Instruction cache enable bit" "Disabled,Enabled" bitfld.long 0x10 16. " DC ,Cache enable bit" "Disabled,Enabled" textline " " bitfld.long 0x10 10. " STKOFHFNMIGN ,Controls the effect of a stack limit violation while executing at a requested priority less than 0" "Not ignored,Ignored" bitfld.long 0x10 8. " BFHFNMIGN ,Determines the effect of precise busfaults on handlers running at a requested priority less than 0" "Not ignored,Ignored" bitfld.long 0x10 4. " DIV_0_TRP ,Controls the trap on divide by 0" "Disabled,Enabled" textline " " bitfld.long 0x10 3. " UNALIGN_TRP ,Controls the trapping of unaligned word or halfword accesses" "Disabled,Enabled" bitfld.long 0x10 1. " USERSETMPEND ,Controls whether unprivileged software can access the STIR" "Disabled,Enabled" line.long 0x14 "SHPR1,System Handler Priority Register 1" hexmask.long.byte 0x14 24.--31. 1. " PRI_7 ,Priority of system handler 7, SecureFault" hexmask.long.byte 0x14 16.--23. 1. " PRI_6 ,Priority of system handler 6, UsageFault" hexmask.long.byte 0x14 8.--15. 1. " PRI_5 ,Priority of system handler 5, BusFault" textline " " hexmask.long.byte 0x14 0.--7. 1. " PRI_4 ,Priority of system handler 4, MemManage" line.long 0x18 "SHPR2,System Handler Priority Register 2" hexmask.long.byte 0x18 24.--31. 1. " PRI_11 ,Priority of system handler 11, SVCall" line.long 0x1C "SHPR3,System Handler Priority Register 3" hexmask.long.byte 0x1C 24.--31. 1. " PRI_15 ,Priority of system handler 15, SysTick" hexmask.long.byte 0x1C 16.--23. 1. " PRI_14 ,Priority of system handler 14, PendSV" hexmask.long.byte 0x1C 0.--7. 1. " PRI_12 ,Priority of system handler 12, DebugMonitor" line.long 0x20 "SHCSR,System Handler Control and State Register" bitfld.long 0x20 21. " HARDFAULTPENDED ,HardFault exception status" "Not pending,Pending" bitfld.long 0x20 20. " SECUREFAULTPENDED ,SecureFault exception status" "Not pending,Pending" bitfld.long 0x20 19. " SECUREFAULTENA ,SecureFault exception enable" "Disabled,Enabled" textline " " bitfld.long 0x20 18. " USGFAULTENA ,UsageFault exception enable" "Disabled,Enabled" bitfld.long 0x20 17. " BUSFAULTENA ,BusFault exception enable" "Disabled,Enabled" bitfld.long 0x20 16. " MEMFAULTENA ,MemManage exception enable" "Disabled,Enabled" textline " " bitfld.long 0x20 15. " SVCALLPENDED ,SVCall exception status" "Not pending,Pending" bitfld.long 0x20 14. " BUSFAULTPENDED ,BusFault exception status" "Not pending,Pending" bitfld.long 0x20 13. " MEMFAULTPENDED ,MemManage exception status" "Not pending,Pending" textline " " bitfld.long 0x20 12. " USGFAULTPENDED ,UsageFault exception status" "Not pending,Pending" bitfld.long 0x20 11. " SYSTICKACT ,SysTick exception status" "Not active,Active" bitfld.long 0x20 10. " PENDSVACT ,PendSV exception status" "Not active,Active" textline " " bitfld.long 0x20 8. " MONITORACT ,Monitor exception status" "Not active,Active" bitfld.long 0x20 7. " SVCALLACT ,SVCall exception status" "Not active,Active" bitfld.long 0x20 5. " NMIACT ,NMI exception status" "Not active,Active" textline " " bitfld.long 0x20 4. " SECUREFAULTACT ,SecureFault exception status" "Not active,Active" bitfld.long 0x20 3. " USGFAULTACT ,UsageFault exception status" "Not active,Active" bitfld.long 0x20 2. " HARDFAULTACT ,HardFault exception status for the selected Security state" "Not active,Active" textline " " bitfld.long 0x20 1. " BUSFAULTACT ,BusFault exception status" "Not active,Active" bitfld.long 0x20 0. " MEMFAULTACT ,MemManage exception status" "Not active,Active" group.byte 0xD28++0x1 line.byte 0x00 "MMFSR,MemManage Status Register" bitfld.byte 0x00 7. " MMARVALID ,Address Valid Flag" "Not valid,Valid" bitfld.byte 0x00 5. " MLSPERR ,A MemManage fault occurred during FP lazy state preservation" "Not occurred,Occurred" bitfld.byte 0x00 4. " MSTKERR ,Stacking Access Violations" "Not occurred,Occurred" textline " " bitfld.byte 0x00 3. " MUNSTKERR ,Unstacking Access Violations" "Not occurred,Occurred" bitfld.byte 0x00 1. " DACCVIOL ,Data Access Violation" "Not occurred,Occurred" bitfld.byte 0x00 0. " IACCVIOL ,Instruction Access Violation" "Not occurred,Occurred" line.byte 0x01 "BFSR,Bus Fault Status Register" bitfld.byte 0x01 7. " BFARVALID ,Address Valid Flag" "Not valid,Valid" bitfld.byte 0x01 5. " LSPERR ,A bus fault occurred during FP lazy state preservation" "Not occurred,Occurred" bitfld.byte 0x01 4. " STKERR ,Derived bus fault (exception entry)" "Not occurred,Occurred" textline " " bitfld.byte 0x01 3. " UNSTKERR ,Derived bus fault (exception return)" "Not occurred,Occurred" bitfld.byte 0x01 2. " IMPRECISERR ,Imprecise data access error" "Not occurred,Occurred" bitfld.byte 0x01 1. " PRECISERR ,Precise data access error" "Not occurred,Occurred" textline " " bitfld.byte 0x01 0. " IBUSERR ,Bus fault on an instruction prefetch" "Not occurred,Occurred" group.word 0xD2A++0x1 line.word 0x00 "UFSR,Usage Fault Status Register" eventfld.word 0x00 9. " DIVBYZERO ,Divide by zero error" "No error,Error" eventfld.word 0x00 8. " UNALIGNED ,Unaligned access error" "No error,Error" eventfld.word 0x00 4. " STKOF ,Stack overflow error" "No error,Error" textline " " eventfld.word 0x00 3. " NOCP ,A coprocessor access error" "No error,Error" eventfld.word 0x00 2. " INVPC ,An integrity check error" "No error,Error" eventfld.word 0x00 1. " INVSTATE , Invalid Combination of EPSR and Instruction" "No error,Error" textline " " eventfld.word 0x00 0. " UNDEFINSTR ,Undefined instruction error" "No error,Error" group.long 0xD2C++0x03 line.long 0x00 "HFSR,HardFault Status Register" bitfld.long 0x00 31. " DEBUGEVT ,Indicates when a Debug event has occurred" "Not occurred,Occurred" bitfld.long 0x00 30. " FORCED ,Indicates that a fault with configurable priority has been escalated to a HardFault exception" "Not occurred,Occurred" bitfld.long 0x00 1. " VECTTBL ,Indicates when a fault has occurred because of a vector table read error on exception processing" "Not occurred,Occurred" group.long 0xD34++0x0B line.long 0x00 "MMFAR,MemManage Fault Address Register" line.long 0x04 "BFAR,BusFault Address Register" line.long 0x08 "AFSR,Auxiliary Fault Status Register" group.long 0xD88++0x03 line.long 0x00 "CPACR,Coprocessor Access Control Register" bitfld.long 0x00 20.--21. " CP10 ,Access privileges for coprocessor 10" "Denied,Privileged,,Full" bitfld.long 0x00 14.--15. " CP7 ,Access privileges for coprocessor 7" "Denied,Privileged,,Full" textline " " bitfld.long 0x00 12.--13. " CP6 ,Access privileges for coprocessor 6" "Denied,Privileged,,Full" bitfld.long 0x00 10.--11. " CP5 ,Access privileges for coprocessor 5" "Denied,Privileged,,Full" bitfld.long 0x00 8.--9. " CP4 ,Access privileges for coprocessor 4" "Denied,Privileged,,Full" textline " " bitfld.long 0x00 6.--7. " CP3 ,Access privileges for coprocessor 3" "Denied,Privileged,,Full" bitfld.long 0x00 4.--5. " CP2 ,Access privileges for coprocessor 2" "Denied,Privileged,,Full" bitfld.long 0x00 2.--3. " CP1 ,Access privileges for coprocessor 1" "Denied,Privileged,,Full" textline " " bitfld.long 0x00 0.--1. " CP0 ,Access privileges for coprocessor 0" "Denied,Privileged,,Full" if PER.ADDRESS.isSECUREEX(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD48) group.long 0xD8C++0x03 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 11. " CP11 ,Enables Non-secure access to coprocessor CP11" "Disabled,Enabled" bitfld.long 0x00 10. " CP10 ,Enables Non-secure access to coprocessor CP10" "Disabled,Enabled" bitfld.long 0x00 7. " CP7 ,Enables Non-secure access to coprocessor CP7" "Disabled,Enabled" textline " " bitfld.long 0x00 6. " CP6 ,Enables Non-secure access to coprocessor CP6" "Disabled,Enabled" bitfld.long 0x00 5. " CP5 ,Enables Non-secure access to coprocessor CP5" "Disabled,Enabled" bitfld.long 0x00 4. " CP4 ,Enables Non-secure access to coprocessor CP4" "Disabled,Enabled" textline " " bitfld.long 0x00 3. " CP3 ,Enables Non-secure access to coprocessor CP3" "Disabled,Enabled" bitfld.long 0x00 2. " CP2 ,Enables Non-secure access to coprocessor CP2" "Disabled,Enabled" bitfld.long 0x00 1. " CP1 ,Enables Non-secure access to coprocessor CP1" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " CP0 ,Enables Non-secure access to coprocessor CP0" "Disabled,Enabled" else hgroup.long 0xD8C++0x03 hide.long 0x00 "NSACR,Non-Secure Access Control Register (not accessible)" endif wgroup.long 0xF00++0x03 line.long 0x00 "STIR,Software Triggered Interrupt Register" hexmask.long.word 0x00 0.--8. 1. " INTID ,Indicates the interrupt to be pended" tree "Memory System" width 10. rgroup.long 0xD78++0x03 line.long 0x00 "CLIDR,Cache Level ID Register" bitfld.long 0x00 30.--31. " ICB ,Inner cache boundary" "Not disclosed,L1 cache highest,L2 cache highest,L3 cache highest" bitfld.long 0x00 27.--29. " LOU ,LOUU" "Level 1,Level 2,?..." bitfld.long 0x00 24.--26. " LOC ,Level of Coherency" "Level 1,Level 2,?..." textline " " bitfld.long 0x00 18.--20. " CL7 ,Cache type field level 7" "No cache,Instr. only,Data only,Data and Instr.,Unified cache,?..." bitfld.long 0x00 15.--17. " CL6 ,Cache type field level 6" "No cache,?..." bitfld.long 0x00 12.--14. " CL5 ,Cache type field level 5" "No cache,?..." textline " " bitfld.long 0x00 9.--11. " CL4 ,Cache type field level 4" "No cache,?..." bitfld.long 0x00 6.--8. " CL3 ,Cache type field level 3" "No cache,?..." bitfld.long 0x00 3.--5. " CL2 ,Cache type field level 2" "No cache,?..." textline " " bitfld.long 0x00 0.--2. " CL1 ,Cache type field level 1" "No cache,Instr. only,Data only,Data and Instr.,?..." if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD7C)&0xE0000000)==0x80000000) rgroup.long 0xD7C++0x03 line.long 0x00 "CTR,Cache Type Register" bitfld.long 0x00 29.--31. " FORMAT ,Indicates the implemented CTR format" "No Cache,,,,Cache,?..." bitfld.long 0x00 24.--27. " CWG ,Cache Write-back Granule" "0,1,2,3,4,5,6,7,8,9,?..." bitfld.long 0x00 20.--23. " ERG ,Exclusives Reservation Granule" "0,1,2,3,4,5,6,7,8,9,?..." textline " " bitfld.long 0x00 16.--19. " DMINLINE ,Log 2 of the number of words in the smallest cache line of all the data caches and unified caches that are controlled by the processor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " IMINLINE ,Log 2 of the number of words in the smallest cache line of all the instruction caches that are controlled by the processor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else rgroup.long 0xD7C++0x03 line.long 0x00 "CTR,Cache Type Register" bitfld.long 0x00 29.--31. " FORMAT ,Indicates the implemented CTR format" "No Cache,,,,Cache,?..." endif rgroup.long 0xD80++0x03 line.long 0x00 "CCSIDR,Cache Size ID Register" bitfld.long 0x00 31. " WT ,Indicates support available for Write-Through" "Not supported,Supported" bitfld.long 0x00 30. " WB ,Indicates support available for Write-Back" "Not supported,Supported" bitfld.long 0x00 29. " RA ,Indicates support available for read allocation" "Not supported,Supported" textline " " bitfld.long 0x00 28. " WA ,Indicates support available for write allocation" "Not supported,Supported" hexmask.long.word 0x00 13.--27. 1. " NUMSETS ,Indicates the number of sets as (number of sets) - 1" hexmask.long.word 0x00 3.--12. 1. " ASSOCIATIVITY ,Indicates the number of ways as (number of ways) - 1" textline " " bitfld.long 0x00 0.--2. " LINESIZE ,Indicates the number of words in each cache line" "4,8,16,32,64,128,256,512" group.long 0xD84++0x03 line.long 0x00 "CSSELR,Cache Size Selection Register" bitfld.long 0x00 1.--3. " LEVEL ,Identifies which cache level to select" "Level 1,Level 2,Level 3,Level 4,Level 5,Level 6,Level 7,?..." bitfld.long 0x00 0. " IND ,Identifies instruction or data cache to use" "Data/Unified,Instruction" wgroup.long 0xF50++0x03 line.long 0x00 "ICIALLU,I-Cache Invalidate All to PoU" wgroup.long 0xF58++0x23 line.long 0x00 "ICIMVAU,I-Cache Invalidate by MVA to PoU" line.long 0x04 "DCIMVAC,D-Cache Invalidate by MVA to PoC" line.long 0x08 "DCISW,D-Cache Invalidate by Set-Way" hexmask.long 0x08 4.--31. 1. " SETWAY ,Defines number of the way to operate on and number of the set to operate on" bitfld.long 0x08 1.--3. " LEVEL ,Cache level to operate on, minus" "L1,L2,L3,L4,L5,L6,L7,L8" line.long 0x0C "DCCMVAU,D-Cache Clean by MVA to PoU" line.long 0x10 "DCCMVAC,D-Cache Clean by MVA to PoC" line.long 0x14 "DCCSW,D-Cache Clean by Set-Way" hexmask.long 0x14 4.--31. 1. " SETWAY ,Defines number of the way to operate on and number of the set to operate on" bitfld.long 0x14 1.--3. " LEVEL ,Cache level to operate on, minus" "L1,L2,L3,L4,L5,L6,L7,L8" line.long 0x18 "DCCIMVAC,D-Cache Clean and Invalidate by MVA to PoC" line.long 0x1C "DCCISW,D-Cache Clean and Invalidate by Set-Way" hexmask.long 0x1C 4.--31. 1. " SETWAY ,Defines number of the way to operate on and number of the set to operate on" bitfld.long 0x1C 1.--3. " LEVEL ,Cache level to operate on, minus" "L1,L2,L3,L4,L5,L6,L7,L8" line.long 0x20 "BPIALL,Branch Predictor Invalidate All" tree.end tree "Feature Registers" width 10. rgroup.long 0xD40++0x0B line.long 0x00 "ID_PFR0,Processor Feature Register 0" bitfld.long 0x00 4.--7. " STATE1 ,T32 instruction set support" ",,,Supported,?..." bitfld.long 0x00 0.--3. " STATE0 ,ARM instruction set support" "Not supported,?..." line.long 0x04 "ID_PFR1,Processor Feature Register 1" bitfld.long 0x04 8.--11. " MPROF ,M profile programmers' model" ",,2-stack,?..." bitfld.long 0x04 4.--7. " SECURITY ,Security support" "Not implemented,Implemented,?..." line.long 0x08 "ID_DFR0,Debug Feature Register 0" bitfld.long 0x08 20.--23. " MPROFDBG ,M-profile debug. Indicates the supported M-profile debug architecture" "Not supported,ARMv8-M Debug architecture,?..." rgroup.long 0xD4C++0x03 line.long 0x00 "ID_AFR0,Auxiliary Feature Register 0" rgroup.long 0xD50++0x03 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 20.--23. " AUXREG ,Indicates the support for Auxiliary registers" "Not supported,Supported,?..." bitfld.long 0x00 16.--19. " TCM ,Indicates the support for Tightly Coupled Memory (TCM)" "Not supported,Supported,?..." bitfld.long 0x00 12.--15. " SHRLEV ,Indicates the number of shareability levels implemented" "1 level,2 levels,?..." textline " " bitfld.long 0x00 8.--11. " OUTMSHR ,Indicates the outermost shareability domain implemented" "Non-cacheable,HW coherency,,,,,,,,,,,,,,Ignored" bitfld.long 0x00 4.--7. " PMSASUP ,Indicates support for a PMSA" "Not supported,,,,PMSAv8,?..." rgroup.long 0xD54++0x03 line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" rgroup.long 0xD58++0x03 line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2" bitfld.long 0x00 24.--27. " WFISTALL ,Indicates the support for Wait For Interrupt (WFI) stalling" "Not supported,Supported,?..." rgroup.long 0xD5C++0x03 line.long 0x00 "ID_MMFR3,Memory Model Feature Register 3" bitfld.long 0x00 8.--11. " BPMAINT ,Indicates the supported branch predictor maintenance" "Not supported,Supported,?..." bitfld.long 0x00 4.--7. " CMAINTSW ,Indicates the supported cache maintenance operations by set/way" "Not supported,Supported,?..." bitfld.long 0x00 0.--3. " CMAINTVA ,Indicates the supported cache maintenance operations by virtual-address" "Not supported,Supported,?..." rgroup.long 0xD60++0x03 line.long 0x00 "ID_ISAR0,Instruction Set Attributes Register 0" bitfld.long 0x00 24.--27. " DIVIDE ,Indicates the supported divide instructions" "Not supported,SDIV/UDIV,?..." bitfld.long 0x00 20.--23. " DEBUG ,Indicates the supported debug instructions" "Not supported,BKPT,?..." bitfld.long 0x00 16.--19. " COPROC ,Indicates the supported coprocessor instructions" "Not supported,Supported,?..." textline " " bitfld.long 0x00 12.--15. " CMPBRANCH ,Indicates the supported combined compare and branch instructions" "Not supported,CBNZ/CBZ,?..." bitfld.long 0x00 8.--11. " BITFIELD ,Indicates the supported bitfield instructions" "Not supported,BFC/BFI/SBFX/UBFX,?..." bitfld.long 0x00 4.--7. " BITCOUNT ,Indicates the supported bit counting instructions" "Not supported,CLZ,?..." rgroup.long 0xD64++0x03 line.long 0x00 "ID_ISAR1,Instruction Set Attributes Register 1" bitfld.long 0x00 24.--27. " INTERWORK ,Indicates the supported Interworking instructions" "Not supported,BX,BX/BLX,?..." bitfld.long 0x00 20.--23. " IMMEDIATE ,Indicates the support for data-processing instructions with long immediates" "Not supported,ADDW/MOVW/MOVT/SUBW,?..." bitfld.long 0x00 16.--19. " IFTHEN ,Indicates the supported IfThen instructions" "Not supported,IT,?..." textline " " bitfld.long 0x00 12.--15. " EXTEND ,Indicates the supported Extend instructions" "Not supported,Basic,Extended,?..." rgroup.long 0xD68++0x03 line.long 0x00 "ID_ISAR2,Instruction Set Attributes Register 2" bitfld.long 0x00 28.--31. " REVERSAL ,Indicates the supported reversal instructions" "Not supported,REV/REV16/REVSH,REV/REV16/REVSH/RBIT,?..." bitfld.long 0x00 20.--23. " MULTU ,Indicates the supported advanced unsigned multiply instructions" "Not supported,UMULL/UMLAL,UMULL/UMLAL/UMAAL,?..." bitfld.long 0x00 16.--19. " MULTS ,Indicates the supported advanced signed multiply instructions" "Not supported,SMULL/SMLAL,,SMULL/SMLAL/DSP,?..." textline " " bitfld.long 0x00 12.--15. " MULT ,Indicates the supported additional multiply instructions" "Not supported,MUL,MUL/MLA/MLS,?..." bitfld.long 0x00 8.--11. " MULTIACCESSINT ,Indicates the support for multi-access interruptible instructions" "Not supported,LDM/STM restartable,LDM/STM continuable,?..." bitfld.long 0x00 4.--7. " MEMHINT ,Indicates the supported memory hint instructions" "Not supported,,,PLD/PLI,?..." textline " " bitfld.long 0x00 0.--3. " LOADSTORE ,Indicates the supported additional load and store instructions" "Not supported,,Load-acquire/Store-release/Exclusive,?..." rgroup.long 0xD6C++0x03 line.long 0x00 "ID_ISAR3,Instruction Set Attributes Register 3" bitfld.long 0x00 24.--27. " TRUENOP ,Indicates the support for a true NOP instruction" "Not supported,Supported,?..." bitfld.long 0x00 20.--23. " THUMBCOPY ,Indicates the supported non flag-setting MOV instructions" "Not supported,Supported,?..." bitfld.long 0x00 16.--19. " TABBRANCH ,Indicates the supported Table Branch instructions" "Not supported,TBB/TBH,?..." textline " " bitfld.long 0x00 12.--15. " SYNCHPRIM ,Indicates the supported Table Branch instructions" "Not supported,Supported,?..." bitfld.long 0x00 8.--11. " SVC ,Indicates the supported SVC instructions" "Not supported,SVC,?..." bitfld.long 0x00 4.--7. " SIMD ,Indicates the supported SIMD instructions" "Not supported,Supported,,Extended,?..." textline " " bitfld.long 0x00 0.--3. " SATURATE ,Indicates the supported Saturate instructions" "Not supported,QADD/QDADD/QDSUB/QSUB/Q-bit,?..." rgroup.long 0xD70++0x03 line.long 0x00 "ID_ISAR4,Instruction Set Attributes Register 4" bitfld.long 0x00 24.--27. " PSR_M ,Indicates the supported M profile instructions to modify the PSRs" "Not supported,CPS/MRS/MSR,?..." bitfld.long 0x00 20.--23. " SYNCHPRIMFRAC ,Indicates the supported M profile instructions to modify the PSRs" ",,,Supported,?..." bitfld.long 0x00 16.--19. " BARRIER ,Indicates the supported Barrier instructions" "Not supported,,,DMB/DSB/ISB,?..." textline " " bitfld.long 0x00 8.--11. " WRITEBACK ,Indicates the support for writeback addressing modes" "Basic support,Full support,?..." bitfld.long 0x00 4.--7. " WITHSHIFTS ,Indicates the support for instructions with shifts" "MOV/shift,,,Load/store,?..." bitfld.long 0x00 0.--3. " UNPRIV ,Indicates the supported unprivileged instructions" "Not supported,LDRBT/LDRT/STRBT/STRT,?..." tree.end tree "CoreSight Identification Registers" base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 11. if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xFBC))&0x100000)==0x100000) rgroup.long 0xFBC++0x03 line.long 0x00 "DDEVARCH,SCS CoreSight Device Architecture Register" hexmask.long.word 0x00 21.--31. 1. " ARCHITECT ,Component architect" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" bitfld.long 0x00 16.--19. " REVISION ,Architecture revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " ARCHVER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " ARCHPART ,Architecture part" else rgroup.long 0xFBC++0x03 line.long 0x00 "DDEVARCH,SCS CoreSight Device Architecture Register" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" endif rgroup.long 0xFE0++0x0F line.long 0x00 "DPIDR0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "DPIDR1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "DPIDR2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0c "DPIDR3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "PID4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "DCIDR0,Component ID0 (Preamble)" hexmask.long.byte 0x00 0.--7. 1. " PRMBL_0 ,CoreSight component identification preamble" line.long 0x04 "DCIDR1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " PRMBL_1 ,CoreSight component class" line.long 0x08 "DCIDR2,Component ID2" hexmask.long.byte 0x08 0.--7. 1. " PRMBL_2 ,CoreSight component identification preamble" line.long 0x0c "DCIDR3,Component ID3" hexmask.long.byte 0x0C 0.--7. 1. " PRMBL_3 ,CoreSight component identification preamble" tree.end width 0xB else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Memory Protection Unit (MPU)" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 15. rgroup.long 0xD90++0x03 line.long 0x00 "MPU_TYPE,MPU Type Register" bitfld.long 0x00 8.--15. " DREGION ,Number of regions supported by the MPU" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,?..." bitfld.long 0x00 0. " SEPARATE ,Indicates support for separate instruction and data address maps" "Not supported,?..." group.long 0xD94++0x03 line.long 0x00 "MPU_CTRL,MPU Control Register" bitfld.long 0x00 2. " PRIVDEFENA ,Enables the default memory map as a background region for privileged access" "Disabled,Enabled" bitfld.long 0x00 1. " HFNMIENA ,Handlers executing with priority less than 0 access memory with the MPU enabled or with the MPU disabled" "MPU disabled,MPU enabled" bitfld.long 0x00 0. " ENABLE ,Enables the MPU" "Disabled,Enabled" group.long 0xD98++0x03 line.long 0x00 "MPU_RNR,MPU Region Number Register" hexmask.long.byte 0x00 0.--7. 1. " REGION ,Indicates the memory region accessed by MPU_RBAR and MPU_RASR" tree.close "MPU regions" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x0 group.long 0xD9C++0x03 "Region 0" saveout 0xD98 %l 0x0 line.long 0x00 "MPU_RBAR0,MPU Region Base Address Register 0" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x0 line.long 0x00 "MPU_RLAR0,MPU Region Attribute and Size Register 0" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 0 (not implemented)" saveout 0xD98 %l 0x0 hide.long 0x00 "MPU_RBAR0,MPU Region Base Address Register 0" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x0 hide.long 0x00 "MPU_RLAR0,MPU Region Attribute and Size Register 0" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x1 group.long 0xD9C++0x03 "Region 1" saveout 0xD98 %l 0x1 line.long 0x00 "MPU_RBAR1,MPU Region Base Address Register 1" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x1 line.long 0x00 "MPU_RLAR1,MPU Region Attribute and Size Register 1" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 1 (not implemented)" saveout 0xD98 %l 0x1 hide.long 0x00 "MPU_RBAR1,MPU Region Base Address Register 1" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x1 hide.long 0x00 "MPU_RLAR1,MPU Region Attribute and Size Register 1" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x2 group.long 0xD9C++0x03 "Region 2" saveout 0xD98 %l 0x2 line.long 0x00 "MPU_RBAR2,MPU Region Base Address Register 2" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x2 line.long 0x00 "MPU_RLAR2,MPU Region Attribute and Size Register 2" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 2 (not implemented)" saveout 0xD98 %l 0x2 hide.long 0x00 "MPU_RBAR2,MPU Region Base Address Register 2" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x2 hide.long 0x00 "MPU_RLAR2,MPU Region Attribute and Size Register 2" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x3 group.long 0xD9C++0x03 "Region 3" saveout 0xD98 %l 0x3 line.long 0x00 "MPU_RBAR3,MPU Region Base Address Register 3" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x3 line.long 0x00 "MPU_RLAR3,MPU Region Attribute and Size Register 3" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 3 (not implemented)" saveout 0xD98 %l 0x3 hide.long 0x00 "MPU_RBAR3,MPU Region Base Address Register 3" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x3 hide.long 0x00 "MPU_RLAR3,MPU Region Attribute and Size Register 3" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x4 group.long 0xD9C++0x03 "Region 4" saveout 0xD98 %l 0x4 line.long 0x00 "MPU_RBAR4,MPU Region Base Address Register 4" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x4 line.long 0x00 "MPU_RLAR4,MPU Region Attribute and Size Register 4" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 4 (not implemented)" saveout 0xD98 %l 0x4 hide.long 0x00 "MPU_RBAR4,MPU Region Base Address Register 4" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x4 hide.long 0x00 "MPU_RLAR4,MPU Region Attribute and Size Register 4" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x5 group.long 0xD9C++0x03 "Region 5" saveout 0xD98 %l 0x5 line.long 0x00 "MPU_RBAR5,MPU Region Base Address Register 5" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x5 line.long 0x00 "MPU_RLAR5,MPU Region Attribute and Size Register 5" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 5 (not implemented)" saveout 0xD98 %l 0x5 hide.long 0x00 "MPU_RBAR5,MPU Region Base Address Register 5" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x5 hide.long 0x00 "MPU_RLAR5,MPU Region Attribute and Size Register 5" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x6 group.long 0xD9C++0x03 "Region 6" saveout 0xD98 %l 0x6 line.long 0x00 "MPU_RBAR6,MPU Region Base Address Register 6" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x6 line.long 0x00 "MPU_RLAR6,MPU Region Attribute and Size Register 6" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 6 (not implemented)" saveout 0xD98 %l 0x6 hide.long 0x00 "MPU_RBAR6,MPU Region Base Address Register 6" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x6 hide.long 0x00 "MPU_RLAR6,MPU Region Attribute and Size Register 6" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x7 group.long 0xD9C++0x03 "Region 7" saveout 0xD98 %l 0x7 line.long 0x00 "MPU_RBAR7,MPU Region Base Address Register 7" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x7 line.long 0x00 "MPU_RLAR7,MPU Region Attribute and Size Register 7" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 7 (not implemented)" saveout 0xD98 %l 0x7 hide.long 0x00 "MPU_RBAR7,MPU Region Base Address Register 7" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x7 hide.long 0x00 "MPU_RLAR7,MPU Region Attribute and Size Register 7" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x8 group.long 0xD9C++0x03 "Region 8" saveout 0xD98 %l 0x8 line.long 0x00 "MPU_RBAR8,MPU Region Base Address Register 8" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x8 line.long 0x00 "MPU_RLAR8,MPU Region Attribute and Size Register 8" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 8 (not implemented)" saveout 0xD98 %l 0x8 hide.long 0x00 "MPU_RBAR8,MPU Region Base Address Register 8" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x8 hide.long 0x00 "MPU_RLAR8,MPU Region Attribute and Size Register 8" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x9 group.long 0xD9C++0x03 "Region 9" saveout 0xD98 %l 0x9 line.long 0x00 "MPU_RBAR9,MPU Region Base Address Register 9" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0x9 line.long 0x00 "MPU_RLAR9,MPU Region Attribute and Size Register 9" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 9 (not implemented)" saveout 0xD98 %l 0x9 hide.long 0x00 "MPU_RBAR9,MPU Region Base Address Register 9" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x9 hide.long 0x00 "MPU_RLAR9,MPU Region Attribute and Size Register 9" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xA group.long 0xD9C++0x03 "Region 10" saveout 0xD98 %l 0xA line.long 0x00 "MPU_RBAR10,MPU Region Base Address Register 10" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xA line.long 0x00 "MPU_RLAR10,MPU Region Attribute and Size Register 10" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 10 (not implemented)" saveout 0xD98 %l 0xA hide.long 0x00 "MPU_RBAR10,MPU Region Base Address Register 10" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xA hide.long 0x00 "MPU_RLAR10,MPU Region Attribute and Size Register 10" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xB group.long 0xD9C++0x03 "Region 11" saveout 0xD98 %l 0xB line.long 0x00 "MPU_RBAR11,MPU Region Base Address Register 11" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xB line.long 0x00 "MPU_RLAR11,MPU Region Attribute and Size Register 11" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 11 (not implemented)" saveout 0xD98 %l 0xB hide.long 0x00 "MPU_RBAR11,MPU Region Base Address Register 11" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xB hide.long 0x00 "MPU_RLAR11,MPU Region Attribute and Size Register 11" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xC group.long 0xD9C++0x03 "Region 12" saveout 0xD98 %l 0xC line.long 0x00 "MPU_RBAR12,MPU Region Base Address Register 12" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xC line.long 0x00 "MPU_RLAR12,MPU Region Attribute and Size Register 12" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 12 (not implemented)" saveout 0xD98 %l 0xC hide.long 0x00 "MPU_RBAR12,MPU Region Base Address Register 12" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xC hide.long 0x00 "MPU_RLAR12,MPU Region Attribute and Size Register 12" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xD group.long 0xD9C++0x03 "Region 13" saveout 0xD98 %l 0xD line.long 0x00 "MPU_RBAR13,MPU Region Base Address Register 13" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xD line.long 0x00 "MPU_RLAR13,MPU Region Attribute and Size Register 13" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 13 (not implemented)" saveout 0xD98 %l 0xD hide.long 0x00 "MPU_RBAR13,MPU Region Base Address Register 13" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xD hide.long 0x00 "MPU_RLAR13,MPU Region Attribute and Size Register 13" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xE group.long 0xD9C++0x03 "Region 14" saveout 0xD98 %l 0xE line.long 0x00 "MPU_RBAR14,MPU Region Base Address Register 14" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xE line.long 0x00 "MPU_RLAR14,MPU Region Attribute and Size Register 14" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 14 (not implemented)" saveout 0xD98 %l 0xE hide.long 0x00 "MPU_RBAR14,MPU Region Base Address Register 14" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xE hide.long 0x00 "MPU_RLAR14,MPU Region Attribute and Size Register 14" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xF group.long 0xD9C++0x03 "Region 15" saveout 0xD98 %l 0xF line.long 0x00 "MPU_RBAR15,MPU Region Base Address Register 15" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" bitfld.long 0x00 3.--4. " SH ,Defines the shareability domain of this region for Normal memory" "Non-shareable,,Outer Shareable,Inner Shareable" bitfld.long 0x00 1.--2. " AP ,Defines the access permissions for this region" "R/W privileged,R/W any,RO privileged,RO any" textline " " bitfld.long 0x00 0. " XN ,Defines whether code can be executed from this region" "Execute,Not Execute" group.long 0xDA0++0x03 saveout 0xD98 %l 0xF line.long 0x00 "MPU_RLAR15,MPU Region Attribute and Size Register 15" hexmask.long 0x00 5.--31. 0x20 " LIMIT ,Contains bits [31:5] of the upper inclusive limit of the selected MPU memory region" bitfld.long 0x00 1.--3. " ATTRINDX ,Associates a set of attributes in the MPU_MAIR0 and MPU_MAIR1 fields" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 15 (not implemented)" saveout 0xD98 %l 0xF hide.long 0x00 "MPU_RBAR15,MPU Region Base Address Register 15" textline " " hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xF hide.long 0x00 "MPU_RLAR15,MPU Region Attribute and Size Register 15" endif tree.end newline group.long 0xDC0++0x07 line.long 0x00 "MPU_MAIR0,MPU Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. " ATTR3H ,Attribute 3 High. Outer memory attributes for MPU regions with an AttrIndex of 3" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x00 24.--27. " ATTR3L ,Attribute 3 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 3 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x00 20.--23. " ATTR2H ,Attribute 2 High. Outer memory attributes for MPU regions with an AttrIndex of 2" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x00 16.--19. " ATTR2L ,Attribute 2 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 2 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x00 12.--15. " ATTR1H ,Attribute 1 High. Outer memory attributes for MPU regions with an AttrIndex of 1" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x00 8.--11. " ATTR1L ,Attribute 1 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 1 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x00 4.--7. " ATTR0H ,Attribute 0 High. Outer memory attributes for MPU regions with an AttrIndex of 0" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x00 0.--3. " ATTR0L ,Attribute 0 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 0 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" line.long 0x04 "MPU_MAIR1,MPU Memory Attribute Indirection Register 1" bitfld.long 0x04 28.--31. " ATTR7H ,Attribute 7 High. Outer memory attributes for MPU regions with an AttrIndex of 7" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x04 24.--27. " ATTR7L ,Attribute 7 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 7 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x04 20.--23. " ATTR6H ,Attribute 6 High. Outer memory attributes for MPU regions with an AttrIndex of 6" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x04 16.--19. " ATTR6L ,Attribute 6 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 6 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x04 12.--15. " ATTR5H ,Attribute 5 High. Outer memory attributes for MPU regions with an AttrIndex of 5" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x04 8.--11. " ATTR5L ,Attribute 5 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 5 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" newline bitfld.long 0x04 4.--7. " ATTR4H ,Attribute 4 High. Outer memory attributes for MPU regions with an AttrIndex of 4" "Device memory,Normal memory/Write-through transient/W-allocate,Normal memory/Write-through transient/R-allocate,Normal memory/Write-through transient/RW-allocate,Normal memory/Non-cacheable,Normal memory/Write-back transient/W-allocate,Normal memory/Write-back transient/R-allocate,Normal memory/Write-back transient/RW-allocate,Normal memory/Write-through non-transient,Normal memory/Write-through non-transient/W-allocate,Normal memory/Write-through non-transient/R-allocate,Normal memory/Write-through non-transient/RW-allocate,Normal memory/Write-back non-transient,Normal memory/Write-back non-transient/W-allocate,Normal memory/Write-back non-transient/R-allocate,Normal memory/Write-back non-transient/RW-allocate" bitfld.long 0x04 0.--3. " ATTR4L ,Attribute 4 Low. Device memory/Inner memory attributes for MPU regions with an AttrIndex of 4 [Device memory/Normal memory]" "Device-nGnRnE/Unpredictable,---/Write-through transient/W-allocate,---/Write-through transient/R-allocate,---/Write-through transient/RW-allocate,Device-nGnRE/Non-cacheable,---/Write-back transient/W-allocate,---/Write-back transient/R-allocate,---/Write-back transient/RW-allocate,Device-nGRE/Write-through non-transient,---/Write-through non-transient/W-allocate,---/Write-through non-transient/R-allocate,---/Write-through non-transient/RW-allocate,Device-GRE/Write-back non-transient,---/Write-back non-transient/W-allocate,---/Write-back non-transient/R-allocate,---/Write-back non-transient/RW-allocate" width 0x0B else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Security Attribution Unit (SAU)" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 15. group.long 0xDD0++0x03 line.long 0x00 "SAU_CTRL,SAU Control Register" bitfld.long 0x00 1. " ALLNS ,When SAU_CTRL.ENABLE is 0 this bit controls if the memory is marked as Non-secure or Secure" "Secure,Non-Secure" bitfld.long 0x00 0. " ENABLE ,Enables the SAU" "Disabled,Enabled" rgroup.long 0xDD4++0x03 line.long 0x00 "SAU_TYPE,SAU Type Register" bitfld.long 0x00 0.--7. " SREGION ,The number of implemented SAU regions" "0,1,2,3,4,5,6,7,8,?..." group.long 0xDD8++0x03 line.long 0x00 "SAU_RNR,SAU Region Number Register" hexmask.long.byte 0x00 0.--7. 1. " SAU_RNR ,Indicates the SAU region accessed by SAU_RBAR and SAU_RLAR" tree.close "SAU regions" if PER.ADDRESS.isSECUREEX(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xDD0) if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x0 group.long 0xDDC++0x03 "Region 0" saveout 0xDD8 %l 0x0 line.long 0x00 "SAU_RBAR0,SAU Region Base Address Register 0" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x0 line.long 0x00 "SAU_RLAR0,SAU Region Limit Address Register 0" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 0 (not implemented)" saveout 0xDD8 %l 0x0 hide.long 0x00 "SAU_RBAR0,SAU Region Base Address Register 0" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x0 hide.long 0x00 "SAU_RLAR0,SAU Region Limit Address Register 0" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x1 group.long 0xDDC++0x03 "Region 1" saveout 0xDD8 %l 0x1 line.long 0x00 "SAU_RBAR1,SAU Region Base Address Register 1" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x1 line.long 0x00 "SAU_RLAR1,SAU Region Limit Address Register 1" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 1 (not implemented)" saveout 0xDD8 %l 0x1 hide.long 0x00 "SAU_RBAR1,SAU Region Base Address Register 1" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x1 hide.long 0x00 "SAU_RLAR1,SAU Region Limit Address Register 1" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x2 group.long 0xDDC++0x03 "Region 2" saveout 0xDD8 %l 0x2 line.long 0x00 "SAU_RBAR2,SAU Region Base Address Register 2" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x2 line.long 0x00 "SAU_RLAR2,SAU Region Limit Address Register 2" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 2 (not implemented)" saveout 0xDD8 %l 0x2 hide.long 0x00 "SAU_RBAR2,SAU Region Base Address Register 2" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x2 hide.long 0x00 "SAU_RLAR2,SAU Region Limit Address Register 2" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x3 group.long 0xDDC++0x03 "Region 3" saveout 0xDD8 %l 0x3 line.long 0x00 "SAU_RBAR3,SAU Region Base Address Register 3" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x3 line.long 0x00 "SAU_RLAR3,SAU Region Limit Address Register 3" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 3 (not implemented)" saveout 0xDD8 %l 0x3 hide.long 0x00 "SAU_RBAR3,SAU Region Base Address Register 3" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x3 hide.long 0x00 "SAU_RLAR3,SAU Region Limit Address Register 3" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x4 group.long 0xDDC++0x03 "Region 4" saveout 0xDD8 %l 0x4 line.long 0x00 "SAU_RBAR4,SAU Region Base Address Register 4" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x4 line.long 0x00 "SAU_RLAR4,SAU Region Limit Address Register 4" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 4 (not implemented)" saveout 0xDD8 %l 0x4 hide.long 0x00 "SAU_RBAR4,SAU Region Base Address Register 4" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x4 hide.long 0x00 "SAU_RLAR4,SAU Region Limit Address Register 4" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x5 group.long 0xDDC++0x03 "Region 5" saveout 0xDD8 %l 0x5 line.long 0x00 "SAU_RBAR5,SAU Region Base Address Register 5" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x5 line.long 0x00 "SAU_RLAR5,SAU Region Limit Address Register 5" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 5 (not implemented)" saveout 0xDD8 %l 0x5 hide.long 0x00 "SAU_RBAR5,SAU Region Base Address Register 5" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x5 hide.long 0x00 "SAU_RLAR5,SAU Region Limit Address Register 5" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x6 group.long 0xDDC++0x03 "Region 6" saveout 0xDD8 %l 0x6 line.long 0x00 "SAU_RBAR6,SAU Region Base Address Register 6" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x6 line.long 0x00 "SAU_RLAR6,SAU Region Limit Address Register 6" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 6 (not implemented)" saveout 0xDD8 %l 0x6 hide.long 0x00 "SAU_RBAR6,SAU Region Base Address Register 6" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x6 hide.long 0x00 "SAU_RLAR6,SAU Region Limit Address Register 6" endif if ((per.l(COMPonent.BASE("COREDEBUG",-1)+0xDD4)&0xFF))>0x7 group.long 0xDDC++0x03 "Region 7" saveout 0xDD8 %l 0x7 line.long 0x00 "SAU_RBAR7,SAU Region Base Address Register 7" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDE0++0x03 saveout 0xDD8 %l 0x7 line.long 0x00 "SAU_RLAR7,SAU Region Limit Address Register 7" hexmask.long 0x00 5.--31. 0x20 " LADDR ,Holds bits [31:5] of the limit address for the selected SAU region" bitfld.long 0x00 1. " NSC ,Controls whether Non-secure state is permitted to execute an SG instruction from this region" "Not permitted,Permitted" bitfld.long 0x00 0. " ENABLE ,SAU region enable" "Disabled,Enabled" else hgroup.long 0xDDC++0x03 "Region 7 (not implemented)" saveout 0xDD8 %l 0x7 hide.long 0x00 "SAU_RBAR7,SAU Region Base Address Register 7" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x7 hide.long 0x00 "SAU_RLAR7,SAU Region Limit Address Register 7" endif else hgroup.long 0xDDC++0x03 "Region 0 (not accessible)" saveout 0xDD8 %l 0x0 hide.long 0x00 "SAU_RBAR0,SAU Region Base Address Register 0" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x0 hide.long 0x00 "SAU_RLAR0,SAU Region Limit Address Register 0" hgroup.long 0xDDC++0x03 "Region 1 (not accessible)" saveout 0xDD8 %l 0x1 hide.long 0x00 "SAU_RBAR1,SAU Region Base Address Register 1" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x1 hide.long 0x00 "SAU_RLAR1,SAU Region Limit Address Register 1" hgroup.long 0xDDC++0x03 "Region 2 (not accessible)" saveout 0xDD8 %l 0x2 hide.long 0x00 "SAU_RBAR2,SAU Region Base Address Register 2" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x2 hide.long 0x00 "SAU_RLAR2,SAU Region Limit Address Register 2" hgroup.long 0xDDC++0x03 "Region 3 (not accessible)" saveout 0xDD8 %l 0x3 hide.long 0x00 "SAU_RBAR3,SAU Region Base Address Register 3" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x3 hide.long 0x00 "SAU_RLAR3,SAU Region Limit Address Register 3" hgroup.long 0xDDC++0x03 "Region 4 (not accessible)" saveout 0xDD8 %l 0x4 hide.long 0x00 "SAU_RBAR4,SAU Region Base Address Register 4" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x4 hide.long 0x00 "SAU_RLAR4,SAU Region Limit Address Register 4" hgroup.long 0xDDC++0x03 "Region 5 (not accessible)" saveout 0xDD8 %l 0x5 hide.long 0x00 "SAU_RBAR5,SAU Region Base Address Register 5" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x5 hide.long 0x00 "SAU_RLAR5,SAU Region Limit Address Register 5" hgroup.long 0xDDC++0x03 "Region 6 (not accessible)" saveout 0xDD8 %l 0x6 hide.long 0x00 "SAU_RBAR6,SAU Region Base Address Register 6" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x6 hide.long 0x00 "SAU_RLAR6,SAU Region Limit Address Register 6" hgroup.long 0xDDC++0x03 "Region 7 (not accessible)" saveout 0xDD8 %l 0x7 hide.long 0x00 "SAU_RBAR7,SAU Region Base Address Register 7" hgroup.long 0xDE0++0x03 saveout 0xDD8 %l 0x7 hide.long 0x00 "SAU_RLAR7,SAU Region Limit Address Register 7" endif tree.end group.long 0xDE4++0x03 line.long 0x00 "SFSR,Secure Fault Status Register" bitfld.long 0x00 7. " LSERR ,Lazy state error flag" "Not occurred,Occurred" bitfld.long 0x00 6. " SFARVALID ,Secure fault address valid" "Not valid,Valid" bitfld.long 0x00 5. " LSPERR ,Lazy state preservation error flag" "Not occurred,Occurred" textline " " bitfld.long 0x00 4. " INVTRAN ,Invalid transition flag" "Not occurred,Occurred" bitfld.long 0x00 3. " AUVIOL ,Attribution unit violation flag" "Not occurred,Occurred" bitfld.long 0x00 2. " INVER ,Invalid exception return flag" "Not occurred,Occurred" textline " " bitfld.long 0x00 1. " INVIS ,Invalid integrity signature flag" "Not occurred,Occurred" bitfld.long 0x00 0. " INVEP ,Invalid entry point" "Not occurred,Occurred" group.long 0xDE8++0x03 line.long 0x00 "SFAR,Secure Fault Address Register" width 0x0B else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Nested Vectored Interrupt Controller (NVIC)" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 6. group.long 0x04++0x03 line.long 0x00 "ICTR,Interrupt Controller Type Register" bitfld.long 0x00 0.--3. " INTLINESNUM ,Total Number of Interrupt" "0-32,0-64,0-96,0-128,0-160,0-192,0-224,0-255,0-287,0-319,0-351,0-383,0-415,0-447,0-479,0-511" width 24. tree "Interrupt Enable Registers" group.long 0x100++0x03 line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x01) group.long 0x104++0x03 line.long 0x00 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x104++0x03 hide.long 0x00 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x02) group.long 0x108++0x03 line.long 0x00 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x108++0x03 hide.long 0x00 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x03) group.long 0x10C++0x03 line.long 0x00 "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x10C++0x03 hide.long 0x00 "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x04) group.long 0x110++0x03 line.long 0x00 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA159 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA158 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA157 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA156 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA155 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA154 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA153 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA152 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA151 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA150 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA149 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA148 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA147 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA146 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA145 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA144 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA143 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA142 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA141 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA140 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA139 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA138 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA137 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA136 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA135 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA134 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA133 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA132 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA131 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA130 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA129 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA128 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x110++0x03 hide.long 0x00 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x05) group.long 0x114++0x03 line.long 0x00 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA191 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA190 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA189 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA188 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA187 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA186 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA185 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA184 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA183 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA182 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA181 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA180 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA179 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA178 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA177 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA176 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA175 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA174 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA173 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA172 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA171 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA170 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA169 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA168 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA167 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA166 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA165 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA164 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA163 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA162 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA161 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA160 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x114++0x03 hide.long 0x00 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x06) group.long 0x118++0x03 line.long 0x00 "IRQ192_223_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA223 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA222 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA221 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA220 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA219 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA218 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA217 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA216 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA215 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA214 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA213 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA212 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA211 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA210 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA209 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA208 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA207 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA206 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA205 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA204 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA203 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA202 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA201 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA200 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA199 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA198 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA197 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA196 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA195 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA194 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA193 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA192 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x118++0x03 hide.long 0x00 "IRQ192_223_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x07) group.long 0x11C++0x03 line.long 0x00 "IRQ224_255_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA255 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA254 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA253 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA252 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA251 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA250 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA249 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA248 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA247 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA246 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA245 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA244 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA243 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA242 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA241 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA240 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA239 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA238 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA237 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA236 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA235 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA234 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA233 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA232 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA231 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA230 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA229 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA228 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA227 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA226 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA225 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA224 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x11C++0x03 hide.long 0x00 "IRQ224_255_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x08) group.long 0x120++0x03 line.long 0x00 "IRQ256_287_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA287 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA286 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA285 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA284 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA283 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA282 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA281 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA280 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA279 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA278 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA277 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA276 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA275 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA274 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA273 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA272 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA271 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA270 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA269 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA268 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA267 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA266 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA265 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA264 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA263 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA262 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA261 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA260 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA259 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA258 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA257 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA256 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x120++0x03 hide.long 0x00 "IRQ256_287_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x09) group.long 0x124++0x03 line.long 0x00 "IRQ288_319_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA319 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA318 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA317 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA316 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA315 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA314 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA313 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA312 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA311 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA310 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA309 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA308 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA307 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA306 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA305 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA304 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA303 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA302 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA301 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA300 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA299 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA298 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA297 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA296 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA295 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA294 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA293 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA292 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA291 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA290 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA289 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA288 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x124++0x03 hide.long 0x00 "IRQ288_319_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0A) group.long 0x128++0x03 line.long 0x00 "IRQ320_351_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA351 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA350 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA349 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA348 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA347 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA346 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA345 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA344 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA343 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA342 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA341 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA340 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA339 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA338 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA337 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA336 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA335 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA334 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA333 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA332 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA331 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA330 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA329 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA328 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA327 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA326 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA325 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA324 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA323 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA322 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA321 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA320 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x128++0x03 hide.long 0x00 "IRQ320_351_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0B) group.long 0x12C++0x03 line.long 0x00 "IRQ352_383_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA383 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA382 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA381 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA380 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA379 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA378 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA377 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA376 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA375 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA374 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA373 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA372 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA371 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA370 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA369 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA368 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA367 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA366 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA365 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA364 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA363 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA362 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA361 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA360 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA359 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA358 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA357 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA356 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA355 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA354 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA353 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA352 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x12C++0x03 hide.long 0x00 "IRQ352_383_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0C) group.long 0x130++0x03 line.long 0x00 "IRQ384_415_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA415 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA414 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA413 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA412 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA411 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA410 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA409 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA408 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA407 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA406 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA405 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA404 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA403 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA402 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA401 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA400 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA399 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA398 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA397 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA396 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA395 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA394 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA393 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA392 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA391 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA390 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA389 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA388 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA387 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA386 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA385 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA384 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x130++0x03 hide.long 0x00 "IRQ384_415_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0D) group.long 0x134++0x03 line.long 0x00 "IRQ416_447_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA447 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA446 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA445 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA444 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA443 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA442 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA441 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA440 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA439 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA438 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA437 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA436 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA435 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA434 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA433 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA432 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA431 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA430 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA429 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA428 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA427 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA426 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA425 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA424 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA423 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA422 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA421 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA420 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA419 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA418 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA417 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA416 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x134++0x03 hide.long 0x00 "IRQ416_447_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0E) group.long 0x138++0x03 line.long 0x00 "IRQ448_479_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA479 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA478 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA477 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA476 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA475 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA474 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA473 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA472 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA471 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA470 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA469 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA468 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA467 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA466 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA465 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA464 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA463 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA462 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA461 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA460 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA459 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA458 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA457 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA456 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA455 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA454 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA453 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA452 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA451 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA450 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA449 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA448 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x138++0x03 hide.long 0x00 "IRQ448_479_EN_SET/CLR,Interrupt Enable Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0F) group.long 0x13C++0x03 line.long 0x00 "IRQ480_511_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA511 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA510 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA509 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA508 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA507 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA506 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA505 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA504 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA503 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA502 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA501 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA500 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA499 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA498 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA497 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA496 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA495 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA494 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA493 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA492 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA491 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA490 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA489 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA488 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA487 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA486 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA485 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA484 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA483 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA482 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA481 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA480 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x13C++0x03 hide.long 0x00 "IRQ480_511_EN_SET/CLR,Interrupt Enable Register" endif tree.end width 24. tree "Interrupt Pending Registers" group.long 0x200++0x03 line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x01) group.long 0x204++0x03 line.long 0x00 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x204++0x03 hide.long 0x00 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x02) group.long 0x208++0x03 line.long 0x00 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x208++0x03 hide.long 0x00 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x03) group.long 0x20C++0x03 line.long 0x00 "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x20C++0x03 hide.long 0x00 "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x04) group.long 0x210++0x03 line.long 0x00 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN159 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN158 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN157 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN156 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN155 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN154 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN153 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN152 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN151 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN150 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN149 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN148 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN147 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN146 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN145 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN144 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN143 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN142 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN141 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN140 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN139 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN138 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN137 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN136 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN135 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN134 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN133 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN132 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN131 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN130 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN129 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN128 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x210++0x03 hide.long 0x00 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x05) group.long 0x214++0x03 line.long 0x00 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN191 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN190 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN189 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN188 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN187 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN186 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN185 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN184 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN183 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN182 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN181 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN180 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN179 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN178 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN177 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN176 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN175 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN174 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN173 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN172 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN171 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN170 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN169 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN168 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN167 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN166 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN165 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN164 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN163 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN162 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN161 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN160 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x214++0x03 hide.long 0x00 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x06) group.long 0x218++0x03 line.long 0x00 "IRQ192_223_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN223 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN222 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN221 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN220 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN219 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN218 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN217 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN216 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN215 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN214 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN213 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN212 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN211 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN210 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN209 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN208 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN207 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN206 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN205 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN204 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN203 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN202 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN201 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN200 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN199 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN198 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN197 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN196 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN195 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN194 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN193 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN192 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x218++0x03 hide.long 0x00 "IRQ192_223_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x07) group.long 0x21C++0x03 line.long 0x00 "IRQ224_255_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN255 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN254 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN253 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN252 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN251 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN250 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN249 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN248 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN247 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN246 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN245 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN244 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN243 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN242 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN241 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN240 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN239 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN238 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN237 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN236 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN235 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN234 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN233 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN232 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN231 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN230 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN229 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN228 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN227 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN226 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN225 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN224 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x21C++0x03 hide.long 0x00 "IRQ224_255_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x08) group.long 0x220++0x03 line.long 0x00 "IRQ256_287_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN287 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN286 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN285 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN284 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN283 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN282 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN281 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN280 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN279 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN278 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN277 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN276 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN275 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN274 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN273 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN272 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN271 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN270 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN269 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN268 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN267 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN266 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN265 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN264 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN263 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN262 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN261 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN260 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN259 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN258 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN257 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN256 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x220++0x03 hide.long 0x00 "IRQ256_287_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x09) group.long 0x224++0x03 line.long 0x00 "IRQ288_319_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN319 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN318 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN317 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN316 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN315 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN314 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN313 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN312 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN311 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN310 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN309 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN308 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN307 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN306 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN305 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN304 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN303 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN302 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN301 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN300 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN299 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN298 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN297 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN296 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN295 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN294 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN293 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN292 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN291 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN290 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN289 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN288 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x224++0x03 hide.long 0x00 "IRQ288_319_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0A) group.long 0x228++0x03 line.long 0x00 "IRQ320_351_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN351 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN350 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN349 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN348 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN347 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN346 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN345 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN344 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN343 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN342 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN341 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN340 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN339 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN338 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN337 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN336 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN335 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN334 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN333 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN332 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN331 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN330 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN329 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN328 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN327 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN326 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN325 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN324 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN323 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN322 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN321 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN320 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x228++0x03 hide.long 0x00 "IRQ320_351_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0B) group.long 0x22C++0x03 line.long 0x00 "IRQ352_383_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN383 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN382 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN381 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN380 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN379 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN378 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN377 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN376 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN375 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN374 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN373 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN372 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN371 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN370 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN369 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN368 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN367 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN366 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN365 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN364 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN363 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN362 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN361 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN360 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN359 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN358 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN357 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN356 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN355 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN354 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN353 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN352 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x22C++0x03 hide.long 0x00 "IRQ352_383_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0C) group.long 0x230++0x03 line.long 0x00 "IRQ384_415_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN415 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN414 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN413 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN412 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN411 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN410 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN409 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN408 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN407 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN406 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN405 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN404 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN403 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN402 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN401 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN400 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN399 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN398 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN397 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN396 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN395 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN394 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN393 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN392 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN391 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN390 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN389 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN388 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN387 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN386 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN385 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN384 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x230++0x03 hide.long 0x00 "IRQ384_415_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0D) group.long 0x234++0x03 line.long 0x00 "IRQ416_447_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN447 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN446 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN445 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN444 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN443 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN442 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN441 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN440 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN439 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN438 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN437 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN436 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN435 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN434 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN433 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN432 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN431 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN430 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN429 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN428 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN427 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN426 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN425 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN424 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN423 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN422 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN421 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN420 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN419 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN418 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN417 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN416 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x234++0x03 hide.long 0x00 "IRQ416_447_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0E) group.long 0x238++0x03 line.long 0x00 "IRQ448_479_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN479 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN478 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN477 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN476 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN475 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN474 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN473 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN472 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN471 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN470 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN469 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN468 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN467 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN466 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN465 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN464 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN463 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN462 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN461 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN460 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN459 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN458 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN457 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN456 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN455 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN454 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN453 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN452 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN451 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN450 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN449 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN448 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x238++0x03 hide.long 0x00 "IRQ448_479_PEN_SET/CLR,Interrupt Pending Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0F) group.long 0x23C++0x03 line.long 0x00 "IRQ480_511_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN511 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN510 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN509 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN508 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN507 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN506 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN505 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN504 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN503 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN502 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN501 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN500 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN499 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN498 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN497 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN496 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN495 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN494 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN493 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN492 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN491 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN490 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN489 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN488 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN487 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN486 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN485 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN484 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN483 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN482 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN481 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN480 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x23C++0x03 hide.long 0x00 "IRQ480_511_PEN_SET/CLR,Interrupt Pending Register" endif tree.end width 11. tree "Interrupt Active Bit Registers" rgroup.long 0x300++0x03 line.long 0x00 "ACTIVE0,Active Bit Register 0" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x01) rgroup.long 0x304++0x03 line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x304++0x03 hide.long 0x00 "ACTIVE1,Active Bit Register 1" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x02) rgroup.long 0x308++0x03 line.long 0x00 "ACTIVE2,Active Bit Register 2" bitfld.long 0x00 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x308++0x03 hide.long 0x00 "ACTIVE2,Active Bit Register 2" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x03) rgroup.long 0x30C++0x03 line.long 0x00 "ACTIVE3,Active Bit Register 3" bitfld.long 0x00 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x30C++0x03 hide.long 0x00 "ACTIVE3,Active Bit Register 3" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x04) rgroup.long 0x310++0x03 line.long 0x00 "ACTIVE4,Active Bit Register 4" bitfld.long 0x00 31. " ACTIVE159 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE158 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE157 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE156 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE155 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE154 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE153 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE152 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE151 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE150 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE149 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE148 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE147 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE146 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE145 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE144 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE143 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE142 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE141 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE140 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE139 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE138 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE137 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE136 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE135 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE134 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE133 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE132 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE131 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE130 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE129 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE128 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x310++0x03 hide.long 0x00 "ACTIVE4,Active Bit Register 4" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x05) rgroup.long 0x314++0x03 line.long 0x00 "ACTIVE5,Active Bit Register 5" bitfld.long 0x00 31. " ACTIVE191 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE190 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE189 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE188 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE187 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE186 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE185 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE184 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE183 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE182 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE181 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE180 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE179 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE178 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE177 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE176 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE175 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE174 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE173 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE172 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE171 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE170 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE169 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE168 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE167 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE166 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE165 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE164 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE163 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE162 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE161 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE160 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x314++0x03 hide.long 0x00 "ACTIVE5,Active Bit Register 5" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x06) rgroup.long 0x318++0x03 line.long 0x00 "ACTIVE6,Active Bit Register 6" bitfld.long 0x00 31. " ACTIVE223 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE222 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE221 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE220 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE219 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE218 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE217 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE216 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE215 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE214 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE213 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE212 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE211 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE210 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE209 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE208 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE207 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE206 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE205 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE204 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE203 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE202 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE201 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE200 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE199 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE198 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE197 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE196 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE195 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE194 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE193 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE192 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x318++0x03 hide.long 0x00 "ACTIVE6,Active Bit Register 6" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x07) rgroup.long 0x31C++0x03 line.long 0x00 "ACTIVE7,Active Bit Register 7" bitfld.long 0x00 31. " ACTIVE255 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE254 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE253 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE252 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE251 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE250 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE249 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE248 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE247 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE246 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE245 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE244 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE243 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE242 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE241 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE240 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE239 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE238 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE237 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE236 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE235 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE234 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE233 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE232 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE231 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE230 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE229 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE228 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE227 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE226 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE225 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE224 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x31C++0x03 hide.long 0x00 "ACTIVE7,Active Bit Register 7" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x08) rgroup.long 0x320++0x03 line.long 0x00 "ACTIVE8,Active Bit Register 8" bitfld.long 0x00 31. " ACTIVE287 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE286 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE285 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE284 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE283 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE282 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE281 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE280 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE279 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE278 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE277 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE276 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE275 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE274 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE273 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE272 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE271 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE270 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE269 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE268 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE267 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE266 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE265 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE264 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE263 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE262 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE261 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE260 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE259 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE258 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE257 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE256 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x320++0x03 hide.long 0x00 "ACTIVE8,Active Bit Register 8" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x09) rgroup.long 0x324++0x03 line.long 0x00 "ACTIVE9,Active Bit Register 9" bitfld.long 0x00 31. " ACTIVE319 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE318 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE317 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE316 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE315 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE314 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE313 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE312 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE311 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE310 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE309 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE308 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE307 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE306 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE305 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE304 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE303 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE302 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE301 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE300 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE299 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE298 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE297 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE296 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE295 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE294 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE293 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE292 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE291 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE290 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE289 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE288 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x324++0x03 hide.long 0x00 "ACTIVE9,Active Bit Register 9" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0A) rgroup.long 0x328++0x03 line.long 0x00 "ACTIVE10,Active Bit Register 10" bitfld.long 0x00 31. " ACTIVE351 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE350 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE349 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE348 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE347 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE346 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE345 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE344 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE343 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE342 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE341 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE340 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE339 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE338 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE337 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE336 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE335 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE334 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE333 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE332 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE331 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE330 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE329 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE328 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE327 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE326 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE325 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE324 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE323 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE322 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE321 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE320 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x328++0x03 hide.long 0x00 "ACTIVE10,Active Bit Register 10" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0B) rgroup.long 0x32C++0x03 line.long 0x00 "ACTIVE11,Active Bit Register 11" bitfld.long 0x00 31. " ACTIVE383 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE382 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE381 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE380 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE379 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE378 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE377 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE376 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE375 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE374 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE373 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE372 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE371 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE370 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE369 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE368 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE367 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE366 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE365 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE364 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE363 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE362 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE361 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE360 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE359 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE358 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE357 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE356 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE355 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE354 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE353 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE352 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x32C++0x03 hide.long 0x00 "ACTIVE11,Active Bit Register 11" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0C) rgroup.long 0x330++0x03 line.long 0x00 "ACTIVE12,Active Bit Register 12" bitfld.long 0x00 31. " ACTIVE415 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE414 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE413 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE412 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE411 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE410 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE409 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE408 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE407 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE406 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE405 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE404 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE403 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE402 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE401 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE400 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE399 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE398 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE397 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE396 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE395 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE394 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE393 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE392 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE391 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE390 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE389 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE388 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE387 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE386 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE385 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE384 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x330++0x03 hide.long 0x00 "ACTIVE12,Active Bit Register 12" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0D) rgroup.long 0x334++0x03 line.long 0x00 "ACTIVE13,Active Bit Register 13" bitfld.long 0x00 31. " ACTIVE447 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE446 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE445 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE444 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE443 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE442 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE441 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE440 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE439 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE438 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE437 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE436 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE435 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE434 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE433 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE432 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE431 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE430 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE429 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE428 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE427 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE426 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE425 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE424 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE423 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE422 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE421 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE420 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE419 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE418 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE417 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE416 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x334++0x03 hide.long 0x00 "ACTIVE13,Active Bit Register 13" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0E) rgroup.long 0x338++0x03 line.long 0x00 "ACTIVE14,Active Bit Register 14" bitfld.long 0x00 31. " ACTIVE479 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE478 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE477 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE476 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE475 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE474 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE473 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE472 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE471 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE470 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE469 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE468 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE467 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE466 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE465 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE464 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE463 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE462 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE461 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE460 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE459 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE458 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE457 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE456 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE455 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE454 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE453 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE452 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE451 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE450 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE449 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE448 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x338++0x03 hide.long 0x00 "ACTIVE14,Active Bit Register 14" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0F) rgroup.long 0x33C++0x03 line.long 0x00 "ACTIVE15,Active Bit Register 15" bitfld.long 0x00 31. " ACTIVE511 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE510 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE509 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE508 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE507 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE506 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE505 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE504 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE503 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE502 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE501 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE500 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE499 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE498 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE497 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE496 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE495 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE494 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE493 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE492 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE491 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE490 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE489 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE488 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE487 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE486 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE485 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE484 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE483 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE482 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE481 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE480 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x33C++0x03 hide.long 0x00 "ACTIVE15,Active Bit Register 15" endif tree.end width 13. tree "Interrupt Target Non-Secure Registers" group.long 0x380++0x03 line.long 0x00 "NVIC_ITNS0,Interrupt Target Non-Secure Register 0" bitfld.long 0x00 31. " ITNS31 ,Interrupt Targets Non-secure 31" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS30 ,Interrupt Targets Non-secure 30" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS29 ,Interrupt Targets Non-secure 29" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS28 ,Interrupt Targets Non-secure 28" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS27 ,Interrupt Targets Non-secure 27" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS26 ,Interrupt Targets Non-secure 26" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS25 ,Interrupt Targets Non-secure 25" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS24 ,Interrupt Targets Non-secure 24" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS23 ,Interrupt Targets Non-secure 23" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS22 ,Interrupt Targets Non-secure 22" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS21 ,Interrupt Targets Non-secure 21" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS20 ,Interrupt Targets Non-secure 20" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS19 ,Interrupt Targets Non-secure 19" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS18 ,Interrupt Targets Non-secure 18" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS17 ,Interrupt Targets Non-secure 17" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS16 ,Interrupt Targets Non-secure 16" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS15 ,Interrupt Targets Non-secure 15" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS14 ,Interrupt Targets Non-secure 14" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS13 ,Interrupt Targets Non-secure 13" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS12 ,Interrupt Targets Non-secure 12" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS11 ,Interrupt Targets Non-secure 11" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS10 ,Interrupt Targets Non-secure 10" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS9 ,Interrupt Targets Non-secure 9" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS8 ,Interrupt Targets Non-secure 8" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS7 ,Interrupt Targets Non-secure 7" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS6 ,Interrupt Targets Non-secure 6" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS5 ,Interrupt Targets Non-secure 5" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS4 ,Interrupt Targets Non-secure 4" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS3 ,Interrupt Targets Non-secure 3" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS2 ,Interrupt Targets Non-secure 2" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS1 ,Interrupt Targets Non-secure 1" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS0 ,Interrupt Targets Non-secure 0" "Secure,Non-secure" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x01) group.long 0x384++0x03 line.long 0x00 "NVIC_ITNS1,Interrupt Target Non-Secure Register 1" bitfld.long 0x00 31. " ITNS63 ,Interrupt Targets Non-secure 63" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS62 ,Interrupt Targets Non-secure 62" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS61 ,Interrupt Targets Non-secure 61" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS60 ,Interrupt Targets Non-secure 60" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS59 ,Interrupt Targets Non-secure 59" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS58 ,Interrupt Targets Non-secure 58" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS57 ,Interrupt Targets Non-secure 57" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS56 ,Interrupt Targets Non-secure 56" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS55 ,Interrupt Targets Non-secure 55" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS54 ,Interrupt Targets Non-secure 54" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS53 ,Interrupt Targets Non-secure 53" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS52 ,Interrupt Targets Non-secure 52" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS51 ,Interrupt Targets Non-secure 51" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS50 ,Interrupt Targets Non-secure 50" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS49 ,Interrupt Targets Non-secure 49" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS48 ,Interrupt Targets Non-secure 48" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS47 ,Interrupt Targets Non-secure 47" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS46 ,Interrupt Targets Non-secure 46" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS45 ,Interrupt Targets Non-secure 45" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS44 ,Interrupt Targets Non-secure 44" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS43 ,Interrupt Targets Non-secure 43" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS42 ,Interrupt Targets Non-secure 42" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS41 ,Interrupt Targets Non-secure 41" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS40 ,Interrupt Targets Non-secure 40" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS39 ,Interrupt Targets Non-secure 39" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS38 ,Interrupt Targets Non-secure 38" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS37 ,Interrupt Targets Non-secure 37" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS36 ,Interrupt Targets Non-secure 36" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS35 ,Interrupt Targets Non-secure 35" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS34 ,Interrupt Targets Non-secure 34" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS33 ,Interrupt Targets Non-secure 33" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS32 ,Interrupt Targets Non-secure 32" "Secure,Non-secure" else hgroup.long 0x384++0x03 hide.long 0x00 "NVIC_ITNS1,Interrupt Target Non-Secure Register 1" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x02) group.long 0x388++0x03 line.long 0x00 "NVIC_ITNS2,Interrupt Target Non-Secure Register 2" bitfld.long 0x00 31. " ITNS95 ,Interrupt Targets Non-secure 95" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS94 ,Interrupt Targets Non-secure 94" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS93 ,Interrupt Targets Non-secure 93" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS92 ,Interrupt Targets Non-secure 92" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS91 ,Interrupt Targets Non-secure 91" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS90 ,Interrupt Targets Non-secure 90" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS89 ,Interrupt Targets Non-secure 89" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS88 ,Interrupt Targets Non-secure 88" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS87 ,Interrupt Targets Non-secure 87" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS86 ,Interrupt Targets Non-secure 86" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS85 ,Interrupt Targets Non-secure 85" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS84 ,Interrupt Targets Non-secure 84" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS83 ,Interrupt Targets Non-secure 83" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS82 ,Interrupt Targets Non-secure 82" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS81 ,Interrupt Targets Non-secure 81" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS80 ,Interrupt Targets Non-secure 80" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS79 ,Interrupt Targets Non-secure 79" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS78 ,Interrupt Targets Non-secure 78" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS77 ,Interrupt Targets Non-secure 77" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS76 ,Interrupt Targets Non-secure 76" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS75 ,Interrupt Targets Non-secure 75" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS74 ,Interrupt Targets Non-secure 74" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS73 ,Interrupt Targets Non-secure 73" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS72 ,Interrupt Targets Non-secure 72" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS71 ,Interrupt Targets Non-secure 71" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS70 ,Interrupt Targets Non-secure 70" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS69 ,Interrupt Targets Non-secure 69" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS68 ,Interrupt Targets Non-secure 68" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS67 ,Interrupt Targets Non-secure 67" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS66 ,Interrupt Targets Non-secure 66" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS65 ,Interrupt Targets Non-secure 65" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS64 ,Interrupt Targets Non-secure 64" "Secure,Non-secure" else hgroup.long 0x388++0x03 hide.long 0x00 "NVIC_ITNS2,Interrupt Target Non-Secure Register 2" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x03) group.long 0x38C++0x03 line.long 0x00 "NVIC_ITNS3,Interrupt Target Non-Secure Register 3" bitfld.long 0x00 31. " ITNS127 ,Interrupt Targets Non-secure 127" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS126 ,Interrupt Targets Non-secure 126" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS125 ,Interrupt Targets Non-secure 125" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS124 ,Interrupt Targets Non-secure 124" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS123 ,Interrupt Targets Non-secure 123" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS122 ,Interrupt Targets Non-secure 122" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS121 ,Interrupt Targets Non-secure 121" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS120 ,Interrupt Targets Non-secure 120" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS119 ,Interrupt Targets Non-secure 119" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS118 ,Interrupt Targets Non-secure 118" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS117 ,Interrupt Targets Non-secure 117" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS116 ,Interrupt Targets Non-secure 116" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS115 ,Interrupt Targets Non-secure 115" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS114 ,Interrupt Targets Non-secure 114" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS113 ,Interrupt Targets Non-secure 113" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS112 ,Interrupt Targets Non-secure 112" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS111 ,Interrupt Targets Non-secure 111" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS110 ,Interrupt Targets Non-secure 110" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS109 ,Interrupt Targets Non-secure 109" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS108 ,Interrupt Targets Non-secure 108" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS107 ,Interrupt Targets Non-secure 107" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS106 ,Interrupt Targets Non-secure 106" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS105 ,Interrupt Targets Non-secure 105" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS104 ,Interrupt Targets Non-secure 104" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS103 ,Interrupt Targets Non-secure 103" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS102 ,Interrupt Targets Non-secure 102" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS101 ,Interrupt Targets Non-secure 101" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS100 ,Interrupt Targets Non-secure 100" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS99 ,Interrupt Targets Non-secure 99" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS98 ,Interrupt Targets Non-secure 98" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS97 ,Interrupt Targets Non-secure 97" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS96 ,Interrupt Targets Non-secure 96" "Secure,Non-secure" else hgroup.long 0x38C++0x03 hide.long 0x00 "NVIC_ITNS3,Interrupt Target Non-Secure Register 3" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x04) group.long 0x390++0x03 line.long 0x00 "NVIC_ITNS4,Interrupt Target Non-Secure Register 4" bitfld.long 0x00 31. " ITNS159 ,Interrupt Targets Non-secure 159" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS158 ,Interrupt Targets Non-secure 158" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS157 ,Interrupt Targets Non-secure 157" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS156 ,Interrupt Targets Non-secure 156" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS155 ,Interrupt Targets Non-secure 155" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS154 ,Interrupt Targets Non-secure 154" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS153 ,Interrupt Targets Non-secure 153" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS152 ,Interrupt Targets Non-secure 152" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS151 ,Interrupt Targets Non-secure 151" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS150 ,Interrupt Targets Non-secure 150" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS149 ,Interrupt Targets Non-secure 149" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS148 ,Interrupt Targets Non-secure 148" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS147 ,Interrupt Targets Non-secure 147" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS146 ,Interrupt Targets Non-secure 146" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS145 ,Interrupt Targets Non-secure 145" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS144 ,Interrupt Targets Non-secure 144" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS143 ,Interrupt Targets Non-secure 143" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS142 ,Interrupt Targets Non-secure 142" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS141 ,Interrupt Targets Non-secure 141" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS140 ,Interrupt Targets Non-secure 140" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS139 ,Interrupt Targets Non-secure 139" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS138 ,Interrupt Targets Non-secure 138" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS137 ,Interrupt Targets Non-secure 137" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS136 ,Interrupt Targets Non-secure 136" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS135 ,Interrupt Targets Non-secure 135" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS134 ,Interrupt Targets Non-secure 134" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS133 ,Interrupt Targets Non-secure 133" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS132 ,Interrupt Targets Non-secure 132" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS131 ,Interrupt Targets Non-secure 131" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS130 ,Interrupt Targets Non-secure 130" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS129 ,Interrupt Targets Non-secure 129" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS128 ,Interrupt Targets Non-secure 128" "Secure,Non-secure" else hgroup.long 0x390++0x03 hide.long 0x00 "NVIC_ITNS4,Interrupt Target Non-Secure Register 4" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x05) group.long 0x394++0x03 line.long 0x00 "NVIC_ITNS5,Interrupt Target Non-Secure Register 5" bitfld.long 0x00 31. " ITNS191 ,Interrupt Targets Non-secure 191" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS190 ,Interrupt Targets Non-secure 190" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS189 ,Interrupt Targets Non-secure 189" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS188 ,Interrupt Targets Non-secure 188" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS187 ,Interrupt Targets Non-secure 187" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS186 ,Interrupt Targets Non-secure 186" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS185 ,Interrupt Targets Non-secure 185" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS184 ,Interrupt Targets Non-secure 184" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS183 ,Interrupt Targets Non-secure 183" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS182 ,Interrupt Targets Non-secure 182" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS181 ,Interrupt Targets Non-secure 181" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS180 ,Interrupt Targets Non-secure 180" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS179 ,Interrupt Targets Non-secure 179" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS178 ,Interrupt Targets Non-secure 178" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS177 ,Interrupt Targets Non-secure 177" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS176 ,Interrupt Targets Non-secure 176" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS175 ,Interrupt Targets Non-secure 175" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS174 ,Interrupt Targets Non-secure 174" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS173 ,Interrupt Targets Non-secure 173" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS172 ,Interrupt Targets Non-secure 172" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS171 ,Interrupt Targets Non-secure 171" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS170 ,Interrupt Targets Non-secure 170" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS169 ,Interrupt Targets Non-secure 169" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS168 ,Interrupt Targets Non-secure 168" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS167 ,Interrupt Targets Non-secure 167" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS166 ,Interrupt Targets Non-secure 166" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS165 ,Interrupt Targets Non-secure 165" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS164 ,Interrupt Targets Non-secure 164" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS163 ,Interrupt Targets Non-secure 163" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS162 ,Interrupt Targets Non-secure 162" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS161 ,Interrupt Targets Non-secure 161" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS160 ,Interrupt Targets Non-secure 160" "Secure,Non-secure" else hgroup.long 0x394++0x03 hide.long 0x00 "NVIC_ITNS5,Interrupt Target Non-Secure Register 5" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x06) group.long 0x398++0x03 line.long 0x00 "NVIC_ITNS6,Interrupt Target Non-Secure Register 6" bitfld.long 0x00 31. " ITNS223 ,Interrupt Targets Non-secure 223" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS222 ,Interrupt Targets Non-secure 222" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS221 ,Interrupt Targets Non-secure 221" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS220 ,Interrupt Targets Non-secure 220" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS219 ,Interrupt Targets Non-secure 219" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS218 ,Interrupt Targets Non-secure 218" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS217 ,Interrupt Targets Non-secure 217" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS216 ,Interrupt Targets Non-secure 216" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS215 ,Interrupt Targets Non-secure 215" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS214 ,Interrupt Targets Non-secure 214" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS213 ,Interrupt Targets Non-secure 213" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS212 ,Interrupt Targets Non-secure 212" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS211 ,Interrupt Targets Non-secure 211" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS210 ,Interrupt Targets Non-secure 210" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS209 ,Interrupt Targets Non-secure 209" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS208 ,Interrupt Targets Non-secure 208" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS207 ,Interrupt Targets Non-secure 207" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS206 ,Interrupt Targets Non-secure 206" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS205 ,Interrupt Targets Non-secure 205" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS204 ,Interrupt Targets Non-secure 204" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS203 ,Interrupt Targets Non-secure 203" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS202 ,Interrupt Targets Non-secure 202" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS201 ,Interrupt Targets Non-secure 201" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS200 ,Interrupt Targets Non-secure 200" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS199 ,Interrupt Targets Non-secure 199" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS198 ,Interrupt Targets Non-secure 198" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS197 ,Interrupt Targets Non-secure 197" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS196 ,Interrupt Targets Non-secure 196" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS195 ,Interrupt Targets Non-secure 195" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS194 ,Interrupt Targets Non-secure 194" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS193 ,Interrupt Targets Non-secure 193" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS192 ,Interrupt Targets Non-secure 192" "Secure,Non-secure" else hgroup.long 0x398++0x03 hide.long 0x00 "NVIC_ITNS6,Interrupt Target Non-Secure Register 6" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x07) group.long 0x39C++0x03 line.long 0x00 "NVIC_ITNS7,Interrupt Target Non-Secure Register 7" bitfld.long 0x00 31. " ITNS255 ,Interrupt Targets Non-secure 255" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS254 ,Interrupt Targets Non-secure 254" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS253 ,Interrupt Targets Non-secure 253" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS252 ,Interrupt Targets Non-secure 252" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS251 ,Interrupt Targets Non-secure 251" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS250 ,Interrupt Targets Non-secure 250" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS249 ,Interrupt Targets Non-secure 249" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS248 ,Interrupt Targets Non-secure 248" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS247 ,Interrupt Targets Non-secure 247" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS246 ,Interrupt Targets Non-secure 246" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS245 ,Interrupt Targets Non-secure 245" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS244 ,Interrupt Targets Non-secure 244" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS243 ,Interrupt Targets Non-secure 243" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS242 ,Interrupt Targets Non-secure 242" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS241 ,Interrupt Targets Non-secure 241" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS240 ,Interrupt Targets Non-secure 240" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS239 ,Interrupt Targets Non-secure 239" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS238 ,Interrupt Targets Non-secure 238" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS237 ,Interrupt Targets Non-secure 237" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS236 ,Interrupt Targets Non-secure 236" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS235 ,Interrupt Targets Non-secure 235" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS234 ,Interrupt Targets Non-secure 234" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS233 ,Interrupt Targets Non-secure 233" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS232 ,Interrupt Targets Non-secure 232" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS231 ,Interrupt Targets Non-secure 231" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS230 ,Interrupt Targets Non-secure 230" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS229 ,Interrupt Targets Non-secure 229" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS228 ,Interrupt Targets Non-secure 228" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS227 ,Interrupt Targets Non-secure 227" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS226 ,Interrupt Targets Non-secure 226" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS225 ,Interrupt Targets Non-secure 225" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS224 ,Interrupt Targets Non-secure 224" "Secure,Non-secure" else hgroup.long 0x39C++0x03 hide.long 0x00 "NVIC_ITNS7,Interrupt Target Non-Secure Register 7" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x08) group.long 0x3A0++0x03 line.long 0x00 "NVIC_ITNS8,Interrupt Target Non-Secure Register 8" bitfld.long 0x00 31. " ITNS287 ,Interrupt Targets Non-secure 287" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS286 ,Interrupt Targets Non-secure 286" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS285 ,Interrupt Targets Non-secure 285" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS284 ,Interrupt Targets Non-secure 284" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS283 ,Interrupt Targets Non-secure 283" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS282 ,Interrupt Targets Non-secure 282" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS281 ,Interrupt Targets Non-secure 281" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS280 ,Interrupt Targets Non-secure 280" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS279 ,Interrupt Targets Non-secure 279" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS278 ,Interrupt Targets Non-secure 278" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS277 ,Interrupt Targets Non-secure 277" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS276 ,Interrupt Targets Non-secure 276" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS275 ,Interrupt Targets Non-secure 275" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS274 ,Interrupt Targets Non-secure 274" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS273 ,Interrupt Targets Non-secure 273" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS272 ,Interrupt Targets Non-secure 272" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS271 ,Interrupt Targets Non-secure 271" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS270 ,Interrupt Targets Non-secure 270" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS269 ,Interrupt Targets Non-secure 269" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS268 ,Interrupt Targets Non-secure 268" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS267 ,Interrupt Targets Non-secure 267" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS266 ,Interrupt Targets Non-secure 266" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS265 ,Interrupt Targets Non-secure 265" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS264 ,Interrupt Targets Non-secure 264" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS263 ,Interrupt Targets Non-secure 263" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS262 ,Interrupt Targets Non-secure 262" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS261 ,Interrupt Targets Non-secure 261" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS260 ,Interrupt Targets Non-secure 260" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS259 ,Interrupt Targets Non-secure 259" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS258 ,Interrupt Targets Non-secure 258" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS257 ,Interrupt Targets Non-secure 257" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS256 ,Interrupt Targets Non-secure 256" "Secure,Non-secure" else hgroup.long 0x3A0++0x03 hide.long 0x00 "NVIC_ITNS8,Interrupt Target Non-Secure Register 8" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x09) group.long 0x3A4++0x03 line.long 0x00 "NVIC_ITNS9,Interrupt Target Non-Secure Register 9" bitfld.long 0x00 31. " ITNS319 ,Interrupt Targets Non-secure 319" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS318 ,Interrupt Targets Non-secure 318" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS317 ,Interrupt Targets Non-secure 317" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS316 ,Interrupt Targets Non-secure 316" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS315 ,Interrupt Targets Non-secure 315" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS314 ,Interrupt Targets Non-secure 314" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS313 ,Interrupt Targets Non-secure 313" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS312 ,Interrupt Targets Non-secure 312" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS311 ,Interrupt Targets Non-secure 311" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS310 ,Interrupt Targets Non-secure 310" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS309 ,Interrupt Targets Non-secure 309" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS308 ,Interrupt Targets Non-secure 308" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS307 ,Interrupt Targets Non-secure 307" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS306 ,Interrupt Targets Non-secure 306" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS305 ,Interrupt Targets Non-secure 305" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS304 ,Interrupt Targets Non-secure 304" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS303 ,Interrupt Targets Non-secure 303" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS302 ,Interrupt Targets Non-secure 302" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS301 ,Interrupt Targets Non-secure 301" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS300 ,Interrupt Targets Non-secure 300" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS299 ,Interrupt Targets Non-secure 299" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS298 ,Interrupt Targets Non-secure 298" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS297 ,Interrupt Targets Non-secure 297" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS296 ,Interrupt Targets Non-secure 296" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS295 ,Interrupt Targets Non-secure 295" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS294 ,Interrupt Targets Non-secure 294" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS293 ,Interrupt Targets Non-secure 293" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS292 ,Interrupt Targets Non-secure 292" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS291 ,Interrupt Targets Non-secure 291" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS290 ,Interrupt Targets Non-secure 290" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS289 ,Interrupt Targets Non-secure 289" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS288 ,Interrupt Targets Non-secure 288" "Secure,Non-secure" else hgroup.long 0x3A4++0x03 hide.long 0x00 "NVIC_ITNS9,Interrupt Target Non-Secure Register 9" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0A) group.long 0x3A8++0x03 line.long 0x00 "NVIC_ITNS10,Interrupt Target Non-Secure Register 10" bitfld.long 0x00 31. " ITNS351 ,Interrupt Targets Non-secure 351" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS350 ,Interrupt Targets Non-secure 350" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS349 ,Interrupt Targets Non-secure 349" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS348 ,Interrupt Targets Non-secure 348" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS347 ,Interrupt Targets Non-secure 347" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS346 ,Interrupt Targets Non-secure 346" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS345 ,Interrupt Targets Non-secure 345" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS344 ,Interrupt Targets Non-secure 344" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS343 ,Interrupt Targets Non-secure 343" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS342 ,Interrupt Targets Non-secure 342" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS341 ,Interrupt Targets Non-secure 341" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS340 ,Interrupt Targets Non-secure 340" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS339 ,Interrupt Targets Non-secure 339" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS338 ,Interrupt Targets Non-secure 338" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS337 ,Interrupt Targets Non-secure 337" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS336 ,Interrupt Targets Non-secure 336" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS335 ,Interrupt Targets Non-secure 335" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS334 ,Interrupt Targets Non-secure 334" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS333 ,Interrupt Targets Non-secure 333" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS332 ,Interrupt Targets Non-secure 332" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS331 ,Interrupt Targets Non-secure 331" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS330 ,Interrupt Targets Non-secure 330" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS329 ,Interrupt Targets Non-secure 329" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS328 ,Interrupt Targets Non-secure 328" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS327 ,Interrupt Targets Non-secure 327" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS326 ,Interrupt Targets Non-secure 326" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS325 ,Interrupt Targets Non-secure 325" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS324 ,Interrupt Targets Non-secure 324" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS323 ,Interrupt Targets Non-secure 323" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS322 ,Interrupt Targets Non-secure 322" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS321 ,Interrupt Targets Non-secure 321" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS320 ,Interrupt Targets Non-secure 320" "Secure,Non-secure" else hgroup.long 0x3A8++0x03 hide.long 0x00 "NVIC_ITNS10,Interrupt Target Non-Secure Register 10" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0B) group.long 0x3AC++0x03 line.long 0x00 "NVIC_ITNS11,Interrupt Target Non-Secure Register 11" bitfld.long 0x00 31. " ITNS383 ,Interrupt Targets Non-secure 383" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS382 ,Interrupt Targets Non-secure 382" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS381 ,Interrupt Targets Non-secure 381" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS380 ,Interrupt Targets Non-secure 380" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS379 ,Interrupt Targets Non-secure 379" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS378 ,Interrupt Targets Non-secure 378" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS377 ,Interrupt Targets Non-secure 377" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS376 ,Interrupt Targets Non-secure 376" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS375 ,Interrupt Targets Non-secure 375" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS374 ,Interrupt Targets Non-secure 374" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS373 ,Interrupt Targets Non-secure 373" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS372 ,Interrupt Targets Non-secure 372" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS371 ,Interrupt Targets Non-secure 371" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS370 ,Interrupt Targets Non-secure 370" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS369 ,Interrupt Targets Non-secure 369" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS368 ,Interrupt Targets Non-secure 368" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS367 ,Interrupt Targets Non-secure 367" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS366 ,Interrupt Targets Non-secure 366" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS365 ,Interrupt Targets Non-secure 365" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS364 ,Interrupt Targets Non-secure 364" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS363 ,Interrupt Targets Non-secure 363" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS362 ,Interrupt Targets Non-secure 362" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS361 ,Interrupt Targets Non-secure 361" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS360 ,Interrupt Targets Non-secure 360" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS359 ,Interrupt Targets Non-secure 359" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS358 ,Interrupt Targets Non-secure 358" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS357 ,Interrupt Targets Non-secure 357" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS356 ,Interrupt Targets Non-secure 356" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS355 ,Interrupt Targets Non-secure 355" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS354 ,Interrupt Targets Non-secure 354" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS353 ,Interrupt Targets Non-secure 353" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS352 ,Interrupt Targets Non-secure 352" "Secure,Non-secure" else hgroup.long 0x3AC++0x03 hide.long 0x00 "NVIC_ITNS11,Interrupt Target Non-Secure Register 11" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0C) group.long 0x3B0++0x03 line.long 0x00 "NVIC_ITNS12,Interrupt Target Non-Secure Register 12" bitfld.long 0x00 31. " ITNS415 ,Interrupt Targets Non-secure 415" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS414 ,Interrupt Targets Non-secure 414" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS413 ,Interrupt Targets Non-secure 413" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS412 ,Interrupt Targets Non-secure 412" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS411 ,Interrupt Targets Non-secure 411" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS410 ,Interrupt Targets Non-secure 410" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS409 ,Interrupt Targets Non-secure 409" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS408 ,Interrupt Targets Non-secure 408" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS407 ,Interrupt Targets Non-secure 407" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS406 ,Interrupt Targets Non-secure 406" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS405 ,Interrupt Targets Non-secure 405" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS404 ,Interrupt Targets Non-secure 404" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS403 ,Interrupt Targets Non-secure 403" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS402 ,Interrupt Targets Non-secure 402" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS401 ,Interrupt Targets Non-secure 401" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS400 ,Interrupt Targets Non-secure 400" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS399 ,Interrupt Targets Non-secure 399" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS398 ,Interrupt Targets Non-secure 398" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS397 ,Interrupt Targets Non-secure 397" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS396 ,Interrupt Targets Non-secure 396" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS395 ,Interrupt Targets Non-secure 395" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS394 ,Interrupt Targets Non-secure 394" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS393 ,Interrupt Targets Non-secure 393" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS392 ,Interrupt Targets Non-secure 392" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS391 ,Interrupt Targets Non-secure 391" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS390 ,Interrupt Targets Non-secure 390" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS389 ,Interrupt Targets Non-secure 389" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS388 ,Interrupt Targets Non-secure 388" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS387 ,Interrupt Targets Non-secure 387" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS386 ,Interrupt Targets Non-secure 386" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS385 ,Interrupt Targets Non-secure 385" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS384 ,Interrupt Targets Non-secure 384" "Secure,Non-secure" else hgroup.long 0x3B0++0x03 hide.long 0x00 "NVIC_ITNS12,Interrupt Target Non-Secure Register 12" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0D) group.long 0x3B4++0x03 line.long 0x00 "NVIC_ITNS13,Interrupt Target Non-Secure Register 13" bitfld.long 0x00 31. " ITNS447 ,Interrupt Targets Non-secure 447" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS446 ,Interrupt Targets Non-secure 446" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS445 ,Interrupt Targets Non-secure 445" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS444 ,Interrupt Targets Non-secure 444" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS443 ,Interrupt Targets Non-secure 443" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS442 ,Interrupt Targets Non-secure 442" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS441 ,Interrupt Targets Non-secure 441" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS440 ,Interrupt Targets Non-secure 440" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS439 ,Interrupt Targets Non-secure 439" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS438 ,Interrupt Targets Non-secure 438" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS437 ,Interrupt Targets Non-secure 437" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS436 ,Interrupt Targets Non-secure 436" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS435 ,Interrupt Targets Non-secure 435" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS434 ,Interrupt Targets Non-secure 434" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS433 ,Interrupt Targets Non-secure 433" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS432 ,Interrupt Targets Non-secure 432" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS431 ,Interrupt Targets Non-secure 431" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS430 ,Interrupt Targets Non-secure 430" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS429 ,Interrupt Targets Non-secure 429" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS428 ,Interrupt Targets Non-secure 428" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS427 ,Interrupt Targets Non-secure 427" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS426 ,Interrupt Targets Non-secure 426" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS425 ,Interrupt Targets Non-secure 425" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS424 ,Interrupt Targets Non-secure 424" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS423 ,Interrupt Targets Non-secure 423" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS422 ,Interrupt Targets Non-secure 422" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS421 ,Interrupt Targets Non-secure 421" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS420 ,Interrupt Targets Non-secure 420" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS419 ,Interrupt Targets Non-secure 419" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS418 ,Interrupt Targets Non-secure 418" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS417 ,Interrupt Targets Non-secure 417" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS416 ,Interrupt Targets Non-secure 416" "Secure,Non-secure" else hgroup.long 0x3B4++0x03 hide.long 0x00 "NVIC_ITNS13,Interrupt Target Non-Secure Register 13" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0E) group.long 0x3B8++0x03 line.long 0x00 "NVIC_ITNS14,Interrupt Target Non-Secure Register 14" bitfld.long 0x00 31. " ITNS479 ,Interrupt Targets Non-secure 479" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS478 ,Interrupt Targets Non-secure 478" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS477 ,Interrupt Targets Non-secure 477" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS476 ,Interrupt Targets Non-secure 476" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS475 ,Interrupt Targets Non-secure 475" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS474 ,Interrupt Targets Non-secure 474" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS473 ,Interrupt Targets Non-secure 473" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS472 ,Interrupt Targets Non-secure 472" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS471 ,Interrupt Targets Non-secure 471" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS470 ,Interrupt Targets Non-secure 470" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS469 ,Interrupt Targets Non-secure 469" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS468 ,Interrupt Targets Non-secure 468" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS467 ,Interrupt Targets Non-secure 467" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS466 ,Interrupt Targets Non-secure 466" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS465 ,Interrupt Targets Non-secure 465" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS464 ,Interrupt Targets Non-secure 464" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS463 ,Interrupt Targets Non-secure 463" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS462 ,Interrupt Targets Non-secure 462" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS461 ,Interrupt Targets Non-secure 461" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS460 ,Interrupt Targets Non-secure 460" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS459 ,Interrupt Targets Non-secure 459" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS458 ,Interrupt Targets Non-secure 458" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS457 ,Interrupt Targets Non-secure 457" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS456 ,Interrupt Targets Non-secure 456" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS455 ,Interrupt Targets Non-secure 455" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS454 ,Interrupt Targets Non-secure 454" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS453 ,Interrupt Targets Non-secure 453" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS452 ,Interrupt Targets Non-secure 452" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS451 ,Interrupt Targets Non-secure 451" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS450 ,Interrupt Targets Non-secure 450" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS449 ,Interrupt Targets Non-secure 449" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS448 ,Interrupt Targets Non-secure 448" "Secure,Non-secure" else hgroup.long 0x3B8++0x03 hide.long 0x00 "NVIC_ITNS14,Interrupt Target Non-Secure Register 14" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x0F) group.long 0x3BC++0x03 line.long 0x00 "NVIC_ITNS15,Interrupt Target Non-Secure Register 15" bitfld.long 0x00 31. " ITNS511 ,Interrupt Targets Non-secure 511" "Secure,Non-secure" bitfld.long 0x00 30. " ITNS510 ,Interrupt Targets Non-secure 510" "Secure,Non-secure" bitfld.long 0x00 29. " ITNS509 ,Interrupt Targets Non-secure 509" "Secure,Non-secure" textline " " bitfld.long 0x00 28. " ITNS508 ,Interrupt Targets Non-secure 508" "Secure,Non-secure" bitfld.long 0x00 27. " ITNS507 ,Interrupt Targets Non-secure 507" "Secure,Non-secure" bitfld.long 0x00 26. " ITNS506 ,Interrupt Targets Non-secure 506" "Secure,Non-secure" textline " " bitfld.long 0x00 25. " ITNS505 ,Interrupt Targets Non-secure 505" "Secure,Non-secure" bitfld.long 0x00 24. " ITNS504 ,Interrupt Targets Non-secure 504" "Secure,Non-secure" bitfld.long 0x00 23. " ITNS503 ,Interrupt Targets Non-secure 503" "Secure,Non-secure" textline " " bitfld.long 0x00 22. " ITNS502 ,Interrupt Targets Non-secure 502" "Secure,Non-secure" bitfld.long 0x00 21. " ITNS501 ,Interrupt Targets Non-secure 501" "Secure,Non-secure" bitfld.long 0x00 20. " ITNS500 ,Interrupt Targets Non-secure 500" "Secure,Non-secure" textline " " bitfld.long 0x00 19. " ITNS499 ,Interrupt Targets Non-secure 499" "Secure,Non-secure" bitfld.long 0x00 18. " ITNS498 ,Interrupt Targets Non-secure 498" "Secure,Non-secure" bitfld.long 0x00 17. " ITNS497 ,Interrupt Targets Non-secure 497" "Secure,Non-secure" textline " " bitfld.long 0x00 16. " ITNS496 ,Interrupt Targets Non-secure 496" "Secure,Non-secure" bitfld.long 0x00 15. " ITNS495 ,Interrupt Targets Non-secure 495" "Secure,Non-secure" bitfld.long 0x00 14. " ITNS494 ,Interrupt Targets Non-secure 494" "Secure,Non-secure" textline " " bitfld.long 0x00 13. " ITNS493 ,Interrupt Targets Non-secure 493" "Secure,Non-secure" bitfld.long 0x00 12. " ITNS492 ,Interrupt Targets Non-secure 492" "Secure,Non-secure" bitfld.long 0x00 11. " ITNS491 ,Interrupt Targets Non-secure 491" "Secure,Non-secure" textline " " bitfld.long 0x00 10. " ITNS490 ,Interrupt Targets Non-secure 490" "Secure,Non-secure" bitfld.long 0x00 9. " ITNS489 ,Interrupt Targets Non-secure 489" "Secure,Non-secure" bitfld.long 0x00 8. " ITNS488 ,Interrupt Targets Non-secure 488" "Secure,Non-secure" textline " " bitfld.long 0x00 7. " ITNS487 ,Interrupt Targets Non-secure 487" "Secure,Non-secure" bitfld.long 0x00 6. " ITNS486 ,Interrupt Targets Non-secure 486" "Secure,Non-secure" bitfld.long 0x00 5. " ITNS485 ,Interrupt Targets Non-secure 485" "Secure,Non-secure" textline " " bitfld.long 0x00 4. " ITNS484 ,Interrupt Targets Non-secure 484" "Secure,Non-secure" bitfld.long 0x00 3. " ITNS483 ,Interrupt Targets Non-secure 483" "Secure,Non-secure" bitfld.long 0x00 2. " ITNS482 ,Interrupt Targets Non-secure 482" "Secure,Non-secure" textline " " bitfld.long 0x00 1. " ITNS481 ,Interrupt Targets Non-secure 481" "Secure,Non-secure" bitfld.long 0x00 0. " ITNS480 ,Interrupt Targets Non-secure 480" "Secure,Non-secure" else hgroup.long 0x3BC++0x03 hide.long 0x00 "NVIC_ITNS15,Interrupt Target Non-Secure Register 15" endif tree.end tree "Interrupt Priority Registers" group.long 0x400++0x1F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x01) group.long 0x420++0x1F line.long 0x0 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x4 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x8 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0xC "IPR11,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x10 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x14 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x18 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x1C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" else hgroup.long 0x420++0x1F hide.long 0x0 "IPR8,Interrupt Priority Register" hide.long 0x4 "IPR9,Interrupt Priority Register" hide.long 0x8 "IPR10,Interrupt Priority Register" hide.long 0xC "IPR11,Interrupt Priority Register" hide.long 0x10 "IPR12,Interrupt Priority Register" hide.long 0x14 "IPR13,Interrupt Priority Register" hide.long 0x18 "IPR14,Interrupt Priority Register" hide.long 0x1C "IPR15,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x02) group.long 0x440++0x1F line.long 0x0 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x4 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x8 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0xC "IPR19,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x10 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x14 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x18 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x1C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" else hgroup.long 0x440++0x1F hide.long 0x0 "IPR16,Interrupt Priority Register" hide.long 0x4 "IPR17,Interrupt Priority Register" hide.long 0x8 "IPR18,Interrupt Priority Register" hide.long 0xC "IPR19,Interrupt Priority Register" hide.long 0x10 "IPR20,Interrupt Priority Register" hide.long 0x14 "IPR21,Interrupt Priority Register" hide.long 0x18 "IPR22,Interrupt Priority Register" hide.long 0x1C "IPR23,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x03) group.long 0x460++0x1F line.long 0x0 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x4 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x8 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0xC "IPR27,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x10 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x14 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x18 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x1C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" else hgroup.long 0x460++0x1F hide.long 0x0 "IPR24,Interrupt Priority Register" hide.long 0x4 "IPR25,Interrupt Priority Register" hide.long 0x8 "IPR26,Interrupt Priority Register" hide.long 0xC "IPR27,Interrupt Priority Register" hide.long 0x10 "IPR28,Interrupt Priority Register" hide.long 0x14 "IPR29,Interrupt Priority Register" hide.long 0x18 "IPR30,Interrupt Priority Register" hide.long 0x1C "IPR31,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x04) group.long 0x480++0x1F line.long 0x0 "IPR32,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_131 ,Interrupt 131 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_130 ,Interrupt 130 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_129 ,Interrupt 129 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_128 ,Interrupt 128 Priority" line.long 0x4 "IPR33,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_135 ,Interrupt 135 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_134 ,Interrupt 134 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_133 ,Interrupt 133 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_132 ,Interrupt 132 Priority" line.long 0x8 "IPR34,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_139 ,Interrupt 139 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_138 ,Interrupt 138 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_137 ,Interrupt 137 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_136 ,Interrupt 136 Priority" line.long 0xC "IPR35,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_143 ,Interrupt 143 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_142 ,Interrupt 142 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_141 ,Interrupt 141 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_140 ,Interrupt 140 Priority" line.long 0x10 "IPR36,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_147 ,Interrupt 147 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_146 ,Interrupt 146 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_145 ,Interrupt 145 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_144 ,Interrupt 144 Priority" line.long 0x14 "IPR37,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_151 ,Interrupt 151 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_150 ,Interrupt 150 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_149 ,Interrupt 149 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_148 ,Interrupt 148 Priority" line.long 0x18 "IPR38,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_155 ,Interrupt 155 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_154 ,Interrupt 154 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_153 ,Interrupt 153 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_152 ,Interrupt 152 Priority" line.long 0x1C "IPR39,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_159 ,Interrupt 159 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_158 ,Interrupt 158 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_157 ,Interrupt 157 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_156 ,Interrupt 156 Priority" else hgroup.long 0x480++0x1F hide.long 0x0 "IPR32,Interrupt Priority Register" hide.long 0x4 "IPR33,Interrupt Priority Register" hide.long 0x8 "IPR34,Interrupt Priority Register" hide.long 0xC "IPR35,Interrupt Priority Register" hide.long 0x10 "IPR36,Interrupt Priority Register" hide.long 0x14 "IPR37,Interrupt Priority Register" hide.long 0x18 "IPR38,Interrupt Priority Register" hide.long 0x1C "IPR39,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x05) group.long 0x4A0++0x1F line.long 0x0 "IPR40,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_163 ,Interrupt 163 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_162 ,Interrupt 162 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_161 ,Interrupt 161 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_160 ,Interrupt 160 Priority" line.long 0x4 "IPR41,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_167 ,Interrupt 167 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_166 ,Interrupt 166 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_165 ,Interrupt 165 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_164 ,Interrupt 164 Priority" line.long 0x8 "IPR42,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_171 ,Interrupt 171 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_170 ,Interrupt 170 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_169 ,Interrupt 169 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_168 ,Interrupt 168 Priority" line.long 0xC "IPR43,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_175 ,Interrupt 175 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_174 ,Interrupt 174 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_173 ,Interrupt 173 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_172 ,Interrupt 172 Priority" line.long 0x10 "IPR44,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_179 ,Interrupt 179 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_178 ,Interrupt 178 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_177 ,Interrupt 177 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_176 ,Interrupt 176 Priority" line.long 0x14 "IPR45,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_183 ,Interrupt 183 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_182 ,Interrupt 182 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_181 ,Interrupt 181 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_180 ,Interrupt 180 Priority" line.long 0x18 "IPR46,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_187 ,Interrupt 187 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_186 ,Interrupt 186 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_185 ,Interrupt 185 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_184 ,Interrupt 184 Priority" line.long 0x1C "IPR47,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_191 ,Interrupt 191 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_190 ,Interrupt 190 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_189 ,Interrupt 189 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_188 ,Interrupt 188 Priority" else hgroup.long 0x4A0++0x1F hide.long 0x0 "IPR40,Interrupt Priority Register" hide.long 0x4 "IPR41,Interrupt Priority Register" hide.long 0x8 "IPR42,Interrupt Priority Register" hide.long 0xC "IPR43,Interrupt Priority Register" hide.long 0x10 "IPR44,Interrupt Priority Register" hide.long 0x14 "IPR45,Interrupt Priority Register" hide.long 0x18 "IPR46,Interrupt Priority Register" hide.long 0x1C "IPR47,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x06) group.long 0x4C0++0x1F line.long 0x0 "IPR48,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_195 ,Interrupt 195 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_194 ,Interrupt 194 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_193 ,Interrupt 193 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_192 ,Interrupt 192 Priority" line.long 0x4 "IPR49,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_199 ,Interrupt 199 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_198 ,Interrupt 198 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_197 ,Interrupt 197 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_196 ,Interrupt 196 Priority" line.long 0x8 "IPR50,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_203 ,Interrupt 203 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_202 ,Interrupt 202 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_201 ,Interrupt 201 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_200 ,Interrupt 200 Priority" line.long 0xC "IPR51,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_207 ,Interrupt 207 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_206 ,Interrupt 206 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_205 ,Interrupt 205 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_204 ,Interrupt 204 Priority" line.long 0x10 "IPR52,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_211 ,Interrupt 211 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_210 ,Interrupt 210 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_209 ,Interrupt 209 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_208 ,Interrupt 208 Priority" line.long 0x14 "IPR53,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_215 ,Interrupt 215 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_214 ,Interrupt 214 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_213 ,Interrupt 213 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_212 ,Interrupt 212 Priority" line.long 0x18 "IPR54,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_219 ,Interrupt 219 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_218 ,Interrupt 218 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_217 ,Interrupt 217 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_216 ,Interrupt 216 Priority" line.long 0x1C "IPR55,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_223 ,Interrupt 223 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_222 ,Interrupt 222 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_221 ,Interrupt 221 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_220 ,Interrupt 220 Priority" else hgroup.long 0x4C0++0x1F hide.long 0x0 "IPR48,Interrupt Priority Register" hide.long 0x4 "IPR49,Interrupt Priority Register" hide.long 0x8 "IPR50,Interrupt Priority Register" hide.long 0xC "IPR51,Interrupt Priority Register" hide.long 0x10 "IPR52,Interrupt Priority Register" hide.long 0x14 "IPR53,Interrupt Priority Register" hide.long 0x18 "IPR54,Interrupt Priority Register" hide.long 0x1C "IPR55,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x07) group.long 0x4E0++0x1F line.long 0x0 "IPR56,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_227 ,Interrupt 227 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_226 ,Interrupt 226 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_225 ,Interrupt 225 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_224 ,Interrupt 224 Priority" line.long 0x4 "IPR57,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_231 ,Interrupt 231 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_230 ,Interrupt 230 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_229 ,Interrupt 229 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_228 ,Interrupt 228 Priority" line.long 0x8 "IPR58,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_235 ,Interrupt 235 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_234 ,Interrupt 234 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_233 ,Interrupt 233 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_232 ,Interrupt 232 Priority" line.long 0xC "IPR59,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_239 ,Interrupt 239 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_238 ,Interrupt 238 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_237 ,Interrupt 237 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_236 ,Interrupt 236 Priority" line.long 0x10 "IPR60,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_243 ,Interrupt 243 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_242 ,Interrupt 242 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_241 ,Interrupt 241 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_240 ,Interrupt 240 Priority" line.long 0x14 "IPR61,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_247 ,Interrupt 247 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_246 ,Interrupt 246 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_245 ,Interrupt 245 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_244 ,Interrupt 244 Priority" line.long 0x18 "IPR62,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_251 ,Interrupt 251 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_250 ,Interrupt 250 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_249 ,Interrupt 249 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_248 ,Interrupt 248 Priority" line.long 0x1C "IPR63,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_255 ,Interrupt 255 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_254 ,Interrupt 254 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_253 ,Interrupt 253 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_252 ,Interrupt 252 Priority" else hgroup.long 0x4E0++0x1F hide.long 0x0 "IPR56,Interrupt Priority Register" hide.long 0x4 "IPR57,Interrupt Priority Register" hide.long 0x8 "IPR58,Interrupt Priority Register" hide.long 0xC "IPR59,Interrupt Priority Register" hide.long 0x10 "IPR60,Interrupt Priority Register" hide.long 0x14 "IPR61,Interrupt Priority Register" hide.long 0x18 "IPR62,Interrupt Priority Register" hide.long 0x1C "IPR63,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x08) group.long 0x500++0x1F line.long 0x0 "IPR64,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_259 ,Interrupt 259 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_258 ,Interrupt 258 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_257 ,Interrupt 257 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_256 ,Interrupt 256 Priority" line.long 0x4 "IPR65,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_263 ,Interrupt 263 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_262 ,Interrupt 262 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_261 ,Interrupt 261 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_260 ,Interrupt 260 Priority" line.long 0x8 "IPR66,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_267 ,Interrupt 267 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_266 ,Interrupt 266 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_265 ,Interrupt 265 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_264 ,Interrupt 264 Priority" line.long 0xC "IPR67,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_271 ,Interrupt 271 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_270 ,Interrupt 270 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_269 ,Interrupt 269 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_268 ,Interrupt 268 Priority" line.long 0x10 "IPR68,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_275 ,Interrupt 275 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_274 ,Interrupt 274 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_273 ,Interrupt 273 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_272 ,Interrupt 272 Priority" line.long 0x14 "IPR69,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_279 ,Interrupt 279 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_278 ,Interrupt 278 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_277 ,Interrupt 277 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_276 ,Interrupt 276 Priority" line.long 0x18 "IPR70,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_283 ,Interrupt 283 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_282 ,Interrupt 282 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_281 ,Interrupt 281 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_280 ,Interrupt 280 Priority" line.long 0x1C "IPR71,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_287 ,Interrupt 287 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_286 ,Interrupt 286 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_285 ,Interrupt 285 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_284 ,Interrupt 284 Priority" else hgroup.long 0x500++0x1F hide.long 0x0 "IPR64,Interrupt Priority Register" hide.long 0x4 "IPR65,Interrupt Priority Register" hide.long 0x8 "IPR66,Interrupt Priority Register" hide.long 0xC "IPR67,Interrupt Priority Register" hide.long 0x10 "IPR68,Interrupt Priority Register" hide.long 0x14 "IPR69,Interrupt Priority Register" hide.long 0x18 "IPR70,Interrupt Priority Register" hide.long 0x1C "IPR71,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x09) group.long 0x520++0x1F line.long 0x0 "IPR72,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_291 ,Interrupt 291 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_290 ,Interrupt 290 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_289 ,Interrupt 289 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_288 ,Interrupt 288 Priority" line.long 0x4 "IPR73,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_295 ,Interrupt 295 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_294 ,Interrupt 294 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_293 ,Interrupt 293 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_292 ,Interrupt 292 Priority" line.long 0x8 "IPR74,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_299 ,Interrupt 299 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_298 ,Interrupt 298 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_297 ,Interrupt 297 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_296 ,Interrupt 296 Priority" line.long 0xC "IPR75,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_303 ,Interrupt 303 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_302 ,Interrupt 302 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_301 ,Interrupt 301 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_300 ,Interrupt 300 Priority" line.long 0x10 "IPR76,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_307 ,Interrupt 307 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_306 ,Interrupt 306 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_305 ,Interrupt 305 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_304 ,Interrupt 304 Priority" line.long 0x14 "IPR77,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_311 ,Interrupt 311 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_310 ,Interrupt 310 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_309 ,Interrupt 309 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_308 ,Interrupt 308 Priority" line.long 0x18 "IPR78,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_315 ,Interrupt 315 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_314 ,Interrupt 314 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_313 ,Interrupt 313 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_312 ,Interrupt 312 Priority" line.long 0x1C "IPR79,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_319 ,Interrupt 319 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_318 ,Interrupt 318 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_317 ,Interrupt 317 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_316 ,Interrupt 316 Priority" else hgroup.long 0x520++0x1F hide.long 0x0 "IPR72,Interrupt Priority Register" hide.long 0x4 "IPR73,Interrupt Priority Register" hide.long 0x8 "IPR74,Interrupt Priority Register" hide.long 0xC "IPR75,Interrupt Priority Register" hide.long 0x10 "IPR76,Interrupt Priority Register" hide.long 0x14 "IPR77,Interrupt Priority Register" hide.long 0x18 "IPR78,Interrupt Priority Register" hide.long 0x1C "IPR79,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0A) group.long 0x540++0x1F line.long 0x0 "IPR80,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_323 ,Interrupt 323 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_322 ,Interrupt 322 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_321 ,Interrupt 321 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_320 ,Interrupt 320 Priority" line.long 0x4 "IPR81,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_327 ,Interrupt 327 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_326 ,Interrupt 326 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_325 ,Interrupt 325 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_324 ,Interrupt 324 Priority" line.long 0x8 "IPR82,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_331 ,Interrupt 331 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_330 ,Interrupt 330 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_329 ,Interrupt 329 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_328 ,Interrupt 328 Priority" line.long 0xC "IPR83,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_335 ,Interrupt 335 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_334 ,Interrupt 334 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_333 ,Interrupt 333 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_332 ,Interrupt 332 Priority" line.long 0x10 "IPR84,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_339 ,Interrupt 339 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_338 ,Interrupt 338 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_337 ,Interrupt 337 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_336 ,Interrupt 336 Priority" line.long 0x14 "IPR85,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_343 ,Interrupt 343 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_342 ,Interrupt 342 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_341 ,Interrupt 341 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_340 ,Interrupt 340 Priority" line.long 0x18 "IPR86,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_347 ,Interrupt 347 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_346 ,Interrupt 346 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_345 ,Interrupt 345 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_344 ,Interrupt 344 Priority" line.long 0x1C "IPR87,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_351 ,Interrupt 351 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_350 ,Interrupt 350 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_349 ,Interrupt 349 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_348 ,Interrupt 348 Priority" else hgroup.long 0x540++0x1F hide.long 0x0 "IPR80,Interrupt Priority Register" hide.long 0x4 "IPR81,Interrupt Priority Register" hide.long 0x8 "IPR82,Interrupt Priority Register" hide.long 0xC "IPR83,Interrupt Priority Register" hide.long 0x10 "IPR84,Interrupt Priority Register" hide.long 0x14 "IPR85,Interrupt Priority Register" hide.long 0x18 "IPR86,Interrupt Priority Register" hide.long 0x1C "IPR87,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0B) group.long 0x560++0x1F line.long 0x0 "IPR88,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_355 ,Interrupt 355 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_354 ,Interrupt 354 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_353 ,Interrupt 353 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_352 ,Interrupt 352 Priority" line.long 0x4 "IPR89,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_359 ,Interrupt 359 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_358 ,Interrupt 358 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_357 ,Interrupt 357 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_356 ,Interrupt 356 Priority" line.long 0x8 "IPR90,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_363 ,Interrupt 363 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_362 ,Interrupt 362 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_361 ,Interrupt 361 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_360 ,Interrupt 360 Priority" line.long 0xC "IPR91,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_367 ,Interrupt 367 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_366 ,Interrupt 366 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_365 ,Interrupt 365 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_364 ,Interrupt 364 Priority" line.long 0x10 "IPR92,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_371 ,Interrupt 371 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_370 ,Interrupt 370 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_369 ,Interrupt 369 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_368 ,Interrupt 368 Priority" line.long 0x14 "IPR93,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_375 ,Interrupt 375 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_374 ,Interrupt 374 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_373 ,Interrupt 373 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_372 ,Interrupt 372 Priority" line.long 0x18 "IPR94,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_379 ,Interrupt 379 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_378 ,Interrupt 378 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_377 ,Interrupt 377 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_376 ,Interrupt 376 Priority" line.long 0x1C "IPR95,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_383 ,Interrupt 383 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_382 ,Interrupt 382 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_381 ,Interrupt 381 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_380 ,Interrupt 380 Priority" else hgroup.long 0x560++0x1F hide.long 0x0 "IPR88,Interrupt Priority Register" hide.long 0x4 "IPR89,Interrupt Priority Register" hide.long 0x8 "IPR90,Interrupt Priority Register" hide.long 0xC "IPR91,Interrupt Priority Register" hide.long 0x10 "IPR92,Interrupt Priority Register" hide.long 0x14 "IPR93,Interrupt Priority Register" hide.long 0x18 "IPR94,Interrupt Priority Register" hide.long 0x1C "IPR95,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0C) group.long 0x580++0x1F line.long 0x0 "IPR96,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_387 ,Interrupt 387 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_386 ,Interrupt 386 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_385 ,Interrupt 385 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_384 ,Interrupt 384 Priority" line.long 0x4 "IPR97,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_391 ,Interrupt 391 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_390 ,Interrupt 390 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_389 ,Interrupt 389 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_388 ,Interrupt 388 Priority" line.long 0x8 "IPR98,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_395 ,Interrupt 395 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_394 ,Interrupt 394 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_393 ,Interrupt 393 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_392 ,Interrupt 392 Priority" line.long 0xC "IPR99,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_399 ,Interrupt 399 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_398 ,Interrupt 398 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_397 ,Interrupt 397 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_396 ,Interrupt 396 Priority" line.long 0x10 "IPR100,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_403 ,Interrupt 403 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_402 ,Interrupt 402 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_401 ,Interrupt 401 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_400 ,Interrupt 400 Priority" line.long 0x14 "IPR101,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_407 ,Interrupt 407 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_406 ,Interrupt 406 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_405 ,Interrupt 405 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_404 ,Interrupt 404 Priority" line.long 0x18 "IPR102,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_411 ,Interrupt 411 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_410 ,Interrupt 410 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_409 ,Interrupt 409 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_408 ,Interrupt 408 Priority" line.long 0x1C "IPR103,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_415 ,Interrupt 415 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_414 ,Interrupt 414 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_413 ,Interrupt 413 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_412 ,Interrupt 412 Priority" else hgroup.long 0x580++0x1F hide.long 0x0 "IPR96,Interrupt Priority Register" hide.long 0x4 "IPR97,Interrupt Priority Register" hide.long 0x8 "IPR98,Interrupt Priority Register" hide.long 0xC "IPR99,Interrupt Priority Register" hide.long 0x10 "IPR100,Interrupt Priority Register" hide.long 0x14 "IPR101,Interrupt Priority Register" hide.long 0x18 "IPR102,Interrupt Priority Register" hide.long 0x1C "IPR103,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0D) group.long 0x5A0++0x1F line.long 0x0 "IPR104,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_419 ,Interrupt 419 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_418 ,Interrupt 418 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_417 ,Interrupt 417 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_416 ,Interrupt 416 Priority" line.long 0x4 "IPR105,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_423 ,Interrupt 423 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_422 ,Interrupt 422 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_421 ,Interrupt 421 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_420 ,Interrupt 420 Priority" line.long 0x8 "IPR106,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_427 ,Interrupt 427 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_426 ,Interrupt 426 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_425 ,Interrupt 425 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_424 ,Interrupt 424 Priority" line.long 0xC "IPR107,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_431 ,Interrupt 431 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_430 ,Interrupt 430 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_429 ,Interrupt 429 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_428 ,Interrupt 428 Priority" line.long 0x10 "IPR108,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_435 ,Interrupt 435 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_434 ,Interrupt 434 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_433 ,Interrupt 433 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_432 ,Interrupt 432 Priority" line.long 0x14 "IPR109,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_439 ,Interrupt 439 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_438 ,Interrupt 438 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_437 ,Interrupt 437 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_436 ,Interrupt 436 Priority" line.long 0x18 "IPR110,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_443 ,Interrupt 443 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_442 ,Interrupt 442 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_441 ,Interrupt 441 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_440 ,Interrupt 440 Priority" line.long 0x1C "IPR111,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_447 ,Interrupt 447 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_446 ,Interrupt 446 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_445 ,Interrupt 445 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_444 ,Interrupt 444 Priority" else hgroup.long 0x5A0++0x1F hide.long 0x0 "IPR104,Interrupt Priority Register" hide.long 0x4 "IPR105,Interrupt Priority Register" hide.long 0x8 "IPR106,Interrupt Priority Register" hide.long 0xC "IPR107,Interrupt Priority Register" hide.long 0x10 "IPR108,Interrupt Priority Register" hide.long 0x14 "IPR109,Interrupt Priority Register" hide.long 0x18 "IPR110,Interrupt Priority Register" hide.long 0x1C "IPR111,Interrupt Priority Register" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)>=0x0E) group.long 0x5C0++0x1F line.long 0x0 "IPR112,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_451 ,Interrupt 451 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_450 ,Interrupt 450 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_449 ,Interrupt 449 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_448 ,Interrupt 448 Priority" line.long 0x4 "IPR113,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_455 ,Interrupt 455 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_454 ,Interrupt 454 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_453 ,Interrupt 453 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_452 ,Interrupt 452 Priority" line.long 0x8 "IPR114,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_459 ,Interrupt 459 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_458 ,Interrupt 458 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_457 ,Interrupt 457 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_456 ,Interrupt 456 Priority" line.long 0xC "IPR115,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_463 ,Interrupt 463 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_462 ,Interrupt 462 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_461 ,Interrupt 461 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_460 ,Interrupt 460 Priority" line.long 0x10 "IPR116,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_467 ,Interrupt 467 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_466 ,Interrupt 466 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_465 ,Interrupt 465 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_464 ,Interrupt 464 Priority" line.long 0x14 "IPR117,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_471 ,Interrupt 471 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_470 ,Interrupt 470 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_469 ,Interrupt 469 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_468 ,Interrupt 468 Priority" line.long 0x18 "IPR118,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_475 ,Interrupt 475 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_474 ,Interrupt 474 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_473 ,Interrupt 473 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_472 ,Interrupt 472 Priority" line.long 0x1C "IPR119,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_479 ,Interrupt 479 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_478 ,Interrupt 478 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_477 ,Interrupt 477 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_476 ,Interrupt 476 Priority" else hgroup.long 0x5C0++0x1F hide.long 0x0 "IPR112,Interrupt Priority Register" hide.long 0x4 "IPR113,Interrupt Priority Register" hide.long 0x8 "IPR114,Interrupt Priority Register" hide.long 0xC "IPR115,Interrupt Priority Register" hide.long 0x10 "IPR116,Interrupt Priority Register" hide.long 0x14 "IPR117,Interrupt Priority Register" hide.long 0x18 "IPR118,Interrupt Priority Register" hide.long 0x1C "IPR119,Interrupt Priority Register" endif tree.end width 0x0b else newline textline "COREDEBUG component base address not specified" newline endif tree.end sif (CORENAME()=="CORTEXM33F") tree "Floating-point Unit (FPU)" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 8. group.long 0xF34++0x0B line.long 0x00 "FPCCR,Floating-Point Context Control Register" bitfld.long 0x00 31. " ASPEN ,Execution of a floating-point instruction sets the CONTROL.FPCA bit to 1" "Disabled,Enabled" bitfld.long 0x00 30. " LSPEN ,Enables lazy context save of FP state" "Disabled,Enabled" bitfld.long 0x00 29. " LSPENS ,This bit controls whether the LSPEN bit is writeable from the Non-secure state" "Writeable,Write ignored" newline bitfld.long 0x00 28. " CLRONRET ,Clear floating point caller saved registers on exception return" "Disabled,Enabled" bitfld.long 0x00 27. " CLRONRETS ,Clear on return Secure only" "Both states,Secure only" bitfld.long 0x00 26. " TS ,Treat as Secure" "Disabled,Enabled" newline bitfld.long 0x00 10. " UFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the UsageFault exception to pending" "Not able,Able" bitfld.long 0x00 9. " SPLIMVIOL ,Indicates whether the FP context violates the stack pointer limit that was active when lazy state preservation was activated" "Low,High" bitfld.long 0x00 8. " MONRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the DebugMonitor exception to pending" "Not able,Able" newline bitfld.long 0x00 7. " SFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the SecureFault exception to pending" "Not able,Able" bitfld.long 0x00 6. " BFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the BusFault exception to pending" "Not able,Able" bitfld.long 0x00 5. " MMRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the MemManage exception to pending" "Not able,Able" newline bitfld.long 0x00 4. " HFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the HardFault exception to pending" "Not able,Able" bitfld.long 0x00 3. " THREAD ,Indicates the processor mode when it allocated the FP stack frame" "Handler,Thread" bitfld.long 0x00 2. " S ,Indicates the FP context belongs to the specified security state" "Non-secure,Secure" newline bitfld.long 0x00 1. " USER ,Indicates the privilege level of the software executing" "Privileged,Unprivileged" bitfld.long 0x00 0. " LSPACT ,Indicates whether Lazy preservation of the FP state is active" "Not active,Active" line.long 0x04 "FPCAR,Floating-Point Context Address Register" hexmask.long 0x04 3.--31. 0x8 " ADDRESS ,The location of the unpopulated floating-point register space allocated on an exception stack frame" line.long 0x08 "FPDSCR,Floating-Point Default Status Control Register" bitfld.long 0x08 26. " AHP ,Default value for FPSCR.AHP" "IEEE 754-2008,Alternative" bitfld.long 0x08 25. " DN ,Default value for FPSCR.DN" "NaN operands,Any operation" bitfld.long 0x08 24. " FZ ,Default value for FPSCR.FZ" "No Flush mode,Flush mode" newline bitfld.long 0x08 22.--23. " RMODE ,Default value for FPSCR.RMode" "Round to Nearest,Round towards Plus Infinity,Round towards Minus Infinity,Round towards Zero" rgroup.long 0xF40++0x0B line.long 0x00 "MVFR0,Media and FP Feature Register 0" bitfld.long 0x00 28.--31. " FPRNDMOD ,Indicates the rounding modes supported by the FP floating-point hardware" ",All supported,?..." bitfld.long 0x00 20.--23. " SQRROOT ,Indicates the hardware support for FP square root operations" ",Supported,?..." bitfld.long 0x00 16.--19. " DIV ,Indicates the hardware support for FP divide operations" ",Supported,?..." newline bitfld.long 0x00 8.--11. " DBLPREC ,Indicates the hardware support for FP double_precision operations" "Not supported,,Supported,?..." bitfld.long 0x00 4.--7. " SNGLPREC ,Indicates the hardware support for FP single-precision operations" ",,Supported,?..." bitfld.long 0x00 0.--3. " A_SIMD ,Indicates the size of the FP register bank" ",Supported-16x64-bit,?..." line.long 0x04 "MVFR1,Media and FP Feature Register 1" bitfld.long 0x04 28.--31. " FP_FUSED_MAC ,Indicates whether the FP supports fused multiply accumulate operations" ",Supported,?..." bitfld.long 0x04 24.--27. " FP_HPFP ,Indicates whether the FP supports half-precision and double-precision floating-point conversion instructions" ",Half-single,Half-single and half-double,?..." newline bitfld.long 0x04 4.--7. " D_NAN ,Indicates whether the FP hardware implementation supports only the Default NaN mode" ",NaN propagation,?..." bitfld.long 0x04 0.--3. " FTZ_MODE ,Indicates whether the FP hardware implementation supports only the Flush-to-Zero mode of operation" ",Fully denormalized,?..." line.long 0x08 "MVFR2,Media and FP Feature Register 2" bitfld.long 0x08 4.--7. " VFP_MISC ,Indicates the hardware support for FP miscellaneous features" "Not supported,,,,Supported,?..." width 0xB else newline textline "COREDEBUG component base address not specified" newline endif tree.end endif tree "Debug" tree "Core Debug" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 13. group.long 0xD30++0x03 line.long 0x00 "DFSR,Debug Fault Status Register" eventfld.long 0x00 4. " EXTERNAL ,Indicates a debug event generated because of the assertion of an external debug request" "Not generated,Generated" eventfld.long 0x00 3. " VCATCH ,Indicates triggering of a Vector catch" "Not triggered,Triggered" eventfld.long 0x00 2. " DWTTRAP ,Indicates a debug event generated by the DWT" "Not generated,Generated" newline eventfld.long 0x00 1. " BKPT ,Indicates a debug event generated by BKPT instruction execution or a breakpoint match in FPB" "Not generated,Generated" eventfld.long 0x00 0. " HALTED ,Indicates a debug event generated by either a C_HALT or C_STEP request, triggered by a write to the DHCSR or a step request triggered by setting DEMCR.MON_STEP to 1" "Not generated,Generated" newline hgroup.long 0xDF0++0x03 hide.long 0x00 "DHCSR,Debug Halting Control and Status Register" in newline wgroup.long 0xDF4++0x03 line.long 0x00 "DCRSR,Debug Core Register Selector Register" bitfld.long 0x00 16. " REGWNR ,Specifies the access type for the transfer" "Read,Write" hexmask.long.byte 0x00 0.--6. 1. " REGSEL ,Specifies the ARM core register, special-purpose register or Floating-point extension register" group.long 0xDF8++0x03 line.long 0x00 "DCRDR,Debug Core Register Data Register" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xDFC))&0x10000)==0x10000) group.long 0xDFC++0x03 line.long 0x00 "DEMCR,Debug Exception and Monitor Control Register" bitfld.long 0x00 24. " TRCENA ,Global enable for all DWT and ITM features" "Disabled,Enabled" bitfld.long 0x00 20. " SDME ,Indicates whether the DebugMonitor targets the Secure or the Non-secure state" "Non-secure,Secure" bitfld.long 0x00 19. " MON_REQ ,DebugMonitor semaphore bit" "0,1" bitfld.long 0x00 18. " MON_STEP ,Setting this bit to 1 makes the step request pending" "No step,Step" newline bitfld.long 0x00 17. " MON_PEND ,Sets or clears the pending state of the DebugMonitor exception" "Not pending,Pending" bitfld.long 0x00 16. " MON_EN ,Enable the DebugMonitor exception" "Disabled,Enabled" bitfld.long 0x00 11. " VC_SFERR ,SecureFault vector catch enable" "Disabled,Enabled" bitfld.long 0x00 10. " VC_HARDERR ,Enable halting debug trap on a HardFault exception" "Disabled,Enabled" newline bitfld.long 0x00 9. " VC_INTERR ,Enable halting debug trap on a fault occurring during exception entry or exception return" "Disabled,Enabled" bitfld.long 0x00 8. " VC_BUSERR ,Enable halting debug trap on a BusFault exception" "Disabled,Enabled" bitfld.long 0x00 7. " VC_STATERR ,Enable halting debug trap on a UsageFault exception caused by a state information error" "Disabled,Enabled" bitfld.long 0x00 6. " VC_CHKERR ,Enable halting debug trap on a UsageFault exception caused by a checking error" "Disabled,Enabled" newline bitfld.long 0x00 5. " VC_NOCPERR ,Enable halting debug trap on a UsageFault caused by an access to a Coprocessor" "Disabled,Enabled" bitfld.long 0x00 4. " VC_MMERR ,Enable halting debug trap on a MemManage exception" "Disabled,Enabled" bitfld.long 0x00 0. " VC_CORERESET ,Enable Reset Vector Catch" "Disabled,Enabled" else group.long 0xDFC++0x03 line.long 0x00 "DEMCR,Debug Exception and Monitor Control Register" bitfld.long 0x00 24. " TRCENA ,Global enable for all DWT and ITM features" "Disabled,Enabled" bitfld.long 0x00 20. " SDME ,Indicates whether the DebugMonitor targets the Secure or the Non-secure state" "Non-secure,Secure" bitfld.long 0x00 19. " MON_REQ ,DebugMonitor semaphore bit" "0,1" newline bitfld.long 0x00 17. " MON_PEND ,Sets or clears the pending state of the DebugMonitor exception" "Not pending,Pending" bitfld.long 0x00 16. " MON_EN ,Enable the DebugMonitor exception" "Disabled,Enabled" bitfld.long 0x00 11. " VC_SFERR ,SecureFault vector catch enable" "Disabled,Enabled" bitfld.long 0x00 10. " VC_HARDERR ,Enable halting debug trap on a HardFault exception" "Disabled,Enabled" newline bitfld.long 0x00 9. " VC_INTERR ,Enable halting debug trap on a fault occurring during exception entry or exception return" "Disabled,Enabled" bitfld.long 0x00 8. " VC_BUSERR ,Enable halting debug trap on a BusFault exception" "Disabled,Enabled" bitfld.long 0x00 7. " VC_STATERR ,Enable halting debug trap on a UsageFault exception caused by a state information error" "Disabled,Enabled" bitfld.long 0x00 6. " VC_CHKERR ,Enable halting debug trap on a UsageFault exception caused by a checking error" "Disabled,Enabled" newline bitfld.long 0x00 5. " VC_NOCPERR ,Enable halting debug trap on a UsageFault caused by an access to a Coprocessor" "Disabled,Enabled" bitfld.long 0x00 4. " VC_MMERR ,Enable halting debug trap on a MemManage exception" "Disabled,Enabled" bitfld.long 0x00 0. " VC_CORERESET ,Enable Reset Vector Catch" "Disabled,Enabled" endif newline group.long 0xE04++0x07 line.long 0x00 "DAUTHCTRL,Debug Authentication Control Register" bitfld.long 0x00 3. " INTSPNIDEN ,Internal secure non-invasive debug enable" "Disabled,Enabled" bitfld.long 0x00 2. " SPNIDENSEL ,Secure non-invasive debug enable select.Selects between DAUTHCTRL and the IMPLEMENTATION DEFINED external authentication interface for control of Secure non-invasive debug" "Ext. auth. interface,DAUTHCTRL.INTSPNIDEN" bitfld.long 0x00 1. " INTSPIDEN ,Internal secure invasive debug enable" "Disabled,Enabled" bitfld.long 0x00 0. " SPIDENSEL ,Secure invasive debug enable select. Selects between DAUTHCTRL and the IMPLEMENTATION DEFINED external authentication interface for control of Secure invasive debug" "Ext. auth. interface,DAUTHCTRL.INTSPIDEN" line.long 0x04 "DSCSR,Debug Security Control and Status Register" bitfld.long 0x04 16. " CDS ,This field indicates the current security state of the processor" "Non-secure,Secure" bitfld.long 0x04 1. " SBRSEL ,Secure banked register select" "Non-secure,Secure" bitfld.long 0x04 0. " SBRSELEN ,Secure banked register select enable" "Disabled,Enabled" rgroup.long 0xFB8++0x03 line.long 0x00 "DAUTHSTATUS,Debug Authentication Status Register" bitfld.long 0x00 7. " SNI ,Secure non-invasive debug implemented" ",Implemented" bitfld.long 0x00 6. " SNE ,Secure non-invasive debug enabled" "0,1" bitfld.long 0x00 5. " SI ,Secure invasive debug features implemented" ",Implemented" bitfld.long 0x00 4. " SE ,Secure invasive debug enabled" "0,1" newline bitfld.long 0x00 3. " NSNI ,Non-secure non-invasive debug features implemented" ",Implemented" bitfld.long 0x00 2. " NSNE ,Non-secure non-invasive debug enabled" "0,1" bitfld.long 0x00 1. " NSI ,Non-secure invasive debug features implemented" ",Implemented" bitfld.long 0x00 0. " NSE ,Non-secure invasive debug enabled" "0,1" width 0x0B else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Flash Patch and Breakpoint Unit (FPB)" sif COMPonent.AVAILABLE("FPB") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1)) width 12. group.long 0x00++0x03 line.long 0x00 "FP_CTRL,Flash Patch Control Register" bitfld.long 0x00 28.--31. " REV ,Flash Patch Breakpoint architecture revision" "Reserved,Version 2,?..." rbitfld.long 0x00 4.--7. 12.--14. " NUM_CODE ,The number of instruction address comparators" "0,1,2,3,4,5,6,7,?..." rbitfld.long 0x00 8.--11. " NUM_LIT ,Number of literal comparators" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 1. " KEY ,Key Field" "Low,High" bitfld.long 0x00 0. " ENABLE ,Flash Patch Unit Enable" "Disabled,Enabled" textline " " if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x04))&0x20000000)==0x20000000) rgroup.long 0x04++0x03 line.long 0x00 "FP_REMAP,Flash Patch Remap Register" bitfld.long 0x00 29. " RMPSPT ,Indicates whether the FPB unit supports Flash Patch remap" "Not supported,Supported" hexmask.long 0x00 5.--28. 0x20 " REMAP ,Remap address" else rgroup.long 0x04++0x03 line.long 0x00 "FP_REMAP,Flash Patch Remap Register" bitfld.long 0x00 29. " RMPSPT ,Indicates whether the FPB unit supports Flash Patch remap" "Not supported,Supported" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x8))&0x01)==0x00) group.long 0x8++0x03 line.long 0x00 "FP_COMP0,Flash Patch Comparator Register 0" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x8++0x03 line.long 0x00 "FP_COMP0,Flash Patch Comparator Register 0" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0xC))&0x01)==0x00) group.long 0xC++0x03 line.long 0x00 "FP_COMP1,Flash Patch Comparator Register 1" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0xC++0x03 line.long 0x00 "FP_COMP1,Flash Patch Comparator Register 1" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x10))&0x01)==0x00) group.long 0x10++0x03 line.long 0x00 "FP_COMP2,Flash Patch Comparator Register 2" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x10++0x03 line.long 0x00 "FP_COMP2,Flash Patch Comparator Register 2" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x14))&0x01)==0x00) group.long 0x14++0x03 line.long 0x00 "FP_COMP3,Flash Patch Comparator Register 3" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x14++0x03 line.long 0x00 "FP_COMP3,Flash Patch Comparator Register 3" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x18))&0x01)==0x00) group.long 0x18++0x03 line.long 0x00 "FP_COMP4,Flash Patch Comparator Register 4" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x18++0x03 line.long 0x00 "FP_COMP4,Flash Patch Comparator Register 4" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x1C))&0x01)==0x00) group.long 0x1C++0x03 line.long 0x00 "FP_COMP5,Flash Patch Comparator Register 5" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x1C++0x03 line.long 0x00 "FP_COMP5,Flash Patch Comparator Register 5" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x20))&0x01)==0x00) group.long 0x20++0x03 line.long 0x00 "FP_COMP6,Flash Patch Comparator Register 6" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x20++0x03 line.long 0x00 "FP_COMP6,Flash Patch Comparator Register 6" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x24))&0x01)==0x00) group.long 0x24++0x03 line.long 0x00 "FP_COMP7,Flash Patch Comparator Register 7" bitfld.long 0x00 31. " FE ,Specifies if Flash Patch enabled" "Disabled,Enabled" hexmask.long 0x00 2.--28. 0x04 " FPADDR ,Specifies bits[28:2] of the Flash Patch address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" else group.long 0x24++0x03 line.long 0x00 "FP_COMP7,Flash Patch Comparator Register 7" textfld " " hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Selects between flashpatch and breakpoint functionality" "FP mode,BP mode" endif tree "CoreSight Identification Registers" width 12. rgroup.long 0xFCC++0x03 line.long 0x00 "FP_DEVTYPE,FPB CoreSight Device Type Register" hexmask.long.byte 0x00 4.--7. 1. " SUB ,Sub-type" hexmask.long.byte 0x00 0.--3. 1. " MAJOR ,Major type" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0xFBC))&0x100000)==0x100000) rgroup.long 0xFBC++0x03 line.long 0x00 "FP_DEVARCH,FPB CoreSight Device Architecture Register" hexmask.long.word 0x00 21.--31. 1. " ARCHITECT ,Component architect" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" bitfld.long 0x00 16.--19. " REVISION ,Architecture revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " ARCHVER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " ARCHPART ,Architecture part" else rgroup.long 0xFBC++0x03 line.long 0x00 "FP_DEVARCH,FPB CoreSight Device Architecture Register" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" endif rgroup.long 0xFE0++0x0F line.long 0x00 "FP_PIDR0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "FP_PIDR1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "FP_PIDR2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0C "FP_PIDR3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "FP_PIDR4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "FP_CIDR0,Component ID0 (Preamble)" hexmask.long.byte 0x00 0.--7. 1. " PRMBL_0 ,CoreSight component identification preamble" line.long 0x04 "FP_CIDR1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " PRMBL_1 ,CoreSight component class" line.long 0x08 "FP_CIDR2,Component ID2" hexmask.long.byte 0x08 0.--7. 1. " PRMBL_2 ,CoreSight component identification preamble" line.long 0x0c "FP_CIDR3,Component ID3" hexmask.long.byte 0x0C 0.--7. 1. " PRMBL_3 ,CoreSight component identification preamble" tree.end width 0x0b else newline textline "FPB component base address not specified" newline endif tree.end tree "Data Watchpoint and Trace Unit (DWT)" sif COMPonent.AVAILABLE("DWT") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1)) width 16. group.long 0x00++0x03 line.long 0x00 "DWT_CTRL,Control Register" rbitfld.long 0x00 28.--31. " NUMCOMP ,Number of comparators implemented" "0,1,2,3,4,5,6,7,8,?..." rbitfld.long 0x00 27. " NOTRCPKT ,Shows whether the implementation supports trace sampling and exception tracing" "Supported,Not supported" rbitfld.long 0x00 25. " NOCYCCNT ,Shows whether the implementation supports a cycle counter" "Supported,Not supported" textline " " rbitfld.long 0x00 24. " NOPRFCNT ,Shows whether the implementation supports the profiling counters" "Supported,Not supported" bitfld.long 0x00 23. " CYCDISS ,Controls whether the cycle counter is prevented from incrementing while the PE is in Secure state" "No,Yes" bitfld.long 0x00 22. " CYCEVTENA ,Enables POSTCNT underflow Event counter packets generation" "Disabled,Enabled" textline " " bitfld.long 0x00 21. " FOLDEVTENA ,Enables generation of the Folded-instruction counter overflow event" "Disabled,Enabled" bitfld.long 0x00 20. " LSUEVTENA ,Enables generation of the LSU counter overflow event" "Disabled,Enabled" bitfld.long 0x00 19. " SLEEPEVTENA ,Enables generation of the Sleep counter overflow event" "Disabled,Enabled" textline " " bitfld.long 0x00 18. " EXCEVTENA ,Enables generation of the Exception overhead counter overflow event" "Disabled,Enabled" bitfld.long 0x00 17. " CPIEVTENA ,Enables generation of the CPI counter overflow event" "Disabled,Enabled" bitfld.long 0x00 16. " EXCTRCENA ,Enables generation of exception trace" "Disabled,Enabled" textline " " bitfld.long 0x00 12. " PCSAMPLENA ,Enables use of POSTCNT counter as a timer for Periodic PC sample packet generation" "Disabled,Enabled" bitfld.long 0x00 10.--11. " SYNCTAP ,Selects the position of the synchronization packet counter tap on the CYCCNT counter" "Disabled,CYCCNT[24],CYCCNT[26],CYCCNT[28]" bitfld.long 0x00 9. " CYCTAP ,Selects the position of the POSTCNT tap on the CYCCNT counter" "CYCCNT[6],CYCCNT[10]" textline " " bitfld.long 0x00 5.--8. " POSTINIT ,Initial value for the POSTCNT counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--4. " POSTPRESET ,Reload value for the POSTCNT counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " CYCCNTENA ,Enables CYCCNT" "Disabled,Enabled" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1)))&0x1000000)==0x0000000) group.long 0x04++0x03 line.long 0x00 "DWT_CYCCNT,Cycle Count register" endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1)))&0x2000000)==0x0000000) group.long 0x08++0x17 line.long 0x00 "DWT_CPICNT,CPI Count register" hexmask.long.byte 0x00 0.--7. 1. " CPICNT ,Base instruction overhead counter" line.long 0x04 "DWT_EXCCNT,Exception Overhead Count Register" hexmask.long.byte 0x04 0.--7. 1. " EXCCNT ,The exception overhead counter" line.long 0x08 "DWT_SLEEPCNT,Sleep Count Register" hexmask.long.byte 0x08 0.--7. 1. " SLEEPCNT ,Sleep Counter" line.long 0x10 "DWT_LSUCNT,LSU Count Register" hexmask.long.byte 0x10 0.--7. 1. " LSUCNT ,Load-store overhead counter" line.long 0x14 "DWT_FOLDCNT,Folded-instruction Count register" hexmask.long.byte 0x14 0.--7. 1. " FOLDCNT ,Folded-instruction counter" endif rgroup.long 0x1C++0x03 line.long 0x00 "DWT_PCSR,Program Counter Sample register" textline " " if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)==0x1) group.long 0x20++0x03 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" hexmask.long 0x00 0.--31. 1. " CYCVALUE ,Cycle value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)>=0x2&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)<0x4) group.long 0x20++0x03 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" hexmask.long 0x00 1.--31. 1. " PCVALUE ,PC value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)>=0x8&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)<0xC) group.long 0x20++0x03 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" hexmask.long 0x00 0.--31. 1. " DVALUE ,Data1 value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)>=0x4&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)<0x8||(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)>=0xC&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x20+0x08)&0xF)<0xF) group.long 0x20++0x03 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" hexmask.long 0x00 0.--31. 0x01 " DADDR ,Data address" else group.long 0x20++0x03 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" endif group.long (0x20+0x08)++0x03 line.long 0x00 "DWT_FUNCTION0,DWT Function Register 0" bitfld.long 0x00 27.--31. " ID ,Identifies the capabilities of comparator" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 24. " MATCHED ,Comparator match" "Not matched,Matched" bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" textline " " bitfld.long 0x00 4.--5. " ACTION ,These bits are ignored and the comparator generates no actions if it is disabled by MATCH" "Trigger only,Generate debug event,Trace data value,Trace address" bitfld.long 0x00 0.--3. " MATCH ,Defines what this comparator matches against" "Disabled,Cycle counter,Instruction address,Instruction address limit,Data address (RW),Data address (W),Data address (R),Data address limit,Data value (RW),Data value (W),Data value (R),Linked data value,Data address/Data value (RW),Data address/Data value (W),Data address/Data value (R),Reserved" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)==0x1) group.long 0x30++0x03 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" hexmask.long 0x00 0.--31. 1. " CYCVALUE ,Cycle value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)>=0x2&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)<0x4) group.long 0x30++0x03 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" hexmask.long 0x00 1.--31. 1. " PCVALUE ,PC value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)>=0x8&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)<0xC) group.long 0x30++0x03 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" hexmask.long 0x00 0.--31. 1. " DVALUE ,Data1 value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)>=0x4&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)<0x8||(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)>=0xC&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08)&0xF)<0xF) group.long 0x30++0x03 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" hexmask.long 0x00 0.--31. 0x01 " DADDR ,Data address" else group.long 0x30++0x03 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" endif group.long (0x30+0x08)++0x03 line.long 0x00 "DWT_FUNCTION1,DWT Function Register 1" bitfld.long 0x00 27.--31. " ID ,Identifies the capabilities of comparator" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 24. " MATCHED ,Comparator match" "Not matched,Matched" bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" textline " " bitfld.long 0x00 4.--5. " ACTION ,These bits are ignored and the comparator generates no actions if it is disabled by MATCH" "Trigger only,Generate debug event,Trace data value,Trace address" bitfld.long 0x00 0.--3. " MATCH ,Defines what this comparator matches against" "Disabled,Cycle counter,Instruction address,Instruction address limit,Data address (RW),Data address (W),Data address (R),Data address limit,Data value (RW),Data value (W),Data value (R),Linked data value,Data address/Data value (RW),Data address/Data value (W),Data address/Data value (R),Reserved" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)==0x1) group.long 0x40++0x03 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" hexmask.long 0x00 0.--31. 1. " CYCVALUE ,Cycle value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)>=0x2&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)<0x4) group.long 0x40++0x03 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" hexmask.long 0x00 1.--31. 1. " PCVALUE ,PC value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)>=0x8&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)<0xC) group.long 0x40++0x03 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" hexmask.long 0x00 0.--31. 1. " DVALUE ,Data1 value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)>=0x4&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)<0x8||(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)>=0xC&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08)&0xF)<0xF) group.long 0x40++0x03 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" hexmask.long 0x00 0.--31. 0x01 " DADDR ,Data address" else group.long 0x40++0x03 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" endif group.long (0x40+0x08)++0x03 line.long 0x00 "DWT_FUNCTION2,DWT Function Register 2" bitfld.long 0x00 27.--31. " ID ,Identifies the capabilities of comparator" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 24. " MATCHED ,Comparator match" "Not matched,Matched" bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" textline " " bitfld.long 0x00 4.--5. " ACTION ,These bits are ignored and the comparator generates no actions if it is disabled by MATCH" "Trigger only,Generate debug event,Trace data value,Trace address" bitfld.long 0x00 0.--3. " MATCH ,Defines what this comparator matches against" "Disabled,Cycle counter,Instruction address,Instruction address limit,Data address (RW),Data address (W),Data address (R),Data address limit,Data value (RW),Data value (W),Data value (R),Linked data value,Data address/Data value (RW),Data address/Data value (W),Data address/Data value (R),Reserved" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)==0x1) group.long 0x50++0x03 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" hexmask.long 0x00 0.--31. 1. " CYCVALUE ,Cycle value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)>=0x2&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)<0x4) group.long 0x50++0x03 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" hexmask.long 0x00 1.--31. 1. " PCVALUE ,PC value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)>=0x8&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)<0xC) group.long 0x50++0x03 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" hexmask.long 0x00 0.--31. 1. " DVALUE ,Data1 value" elif ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)>=0x4&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)<0x8||(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)>=0xC&&(per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08)&0xF)<0xF) group.long 0x50++0x03 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" hexmask.long 0x00 0.--31. 0x01 " DADDR ,Data address" else group.long 0x50++0x03 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" endif group.long (0x50+0x08)++0x03 line.long 0x00 "DWT_FUNCTION3,DWT Function Register 3" bitfld.long 0x00 27.--31. " ID ,Identifies the capabilities of comparator" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 24. " MATCHED ,Comparator match" "Not matched,Matched" bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" textline " " bitfld.long 0x00 4.--5. " ACTION ,These bits are ignored and the comparator generates no actions if it is disabled by MATCH" "Trigger only,Generate debug event,Trace data value,Trace address" bitfld.long 0x00 0.--3. " MATCH ,Defines what this comparator matches against" "Disabled,Cycle counter,Instruction address,Instruction address limit,Data address (RW),Data address (W),Data address (R),Data address limit,Data value (RW),Data value (W),Data value (R),Linked data value,Data address/Data value (RW),Data address/Data value (W),Data address/Data value (R),Reserved" tree "CoreSight Identification Registers" width 13. if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0xFBC))&0x100000)==0x100000) rgroup.long 0xFBC++0x03 line.long 0x00 "DWT_DEVARCH,DWT CoreSight Device Architecture Register" hexmask.long.word 0x00 21.--31. 1. " ARCHITECT ,Component architect" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" bitfld.long 0x00 16.--19. " REVISION ,Architecture revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " ARCHVER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " ARCHPART ,Architecture part" else rgroup.long 0xFBC++0x03 line.long 0x00 "DWT_DEVARCH,DWT CoreSight Device Architecture Register" bitfld.long 0x00 20. " PRESENT ,Register present" "Not present,Present" endif rgroup.long 0xFCC++0x03 line.long 0x00 "DWT_DEVTYPE,Device Type Identifier register" hexmask.long.byte 0x00 4.--7. 1. " SUB ,Sub-type" hexmask.long.byte 0x00 0.--3. 1. " MAJOR ,Major type" rgroup.long 0xFE0++0x0F line.long 0x00 "DWT_PIDR0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "DWT_PIDR1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "DWT_PIDR2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0c "DWT_PIDR3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "DWT_PIDR4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "DWT_CIDR0,Component ID0 (Preamble)" hexmask.long.byte 0x00 0.--7. 1. " PRMBL_0 ,CoreSight component identification preamble" line.long 0x04 "DWT_CIDR1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " PRMBL_1 ,CoreSight component class" line.long 0x08 "DWT_CIDR2,Component ID2" hexmask.long.byte 0x08 0.--7. 1. " PRMBL_2 ,CoreSight component identification preamble" line.long 0x0c "DWT_CIDR3,Component ID3" hexmask.long.byte 0x0C 0.--7. 1. " PRMBL_3 ,CoreSight component identification preamble" tree.end width 0x0b else newline textline "DWT component base address not specified" newline endif tree.end tree.end AUTOINDENT.POP tree.end endif autoindent.on center tree tree "ANA_OSC (OSC_REGS)" base ad:0x44480000 group.long 0x00++0x03 line.long 0x00 "OSC_CTRL,OSC CONTROL" bitfld.long 0x00 11. "CTRL_SEL,hardware control selection" "0,1" bitfld.long 0x00 10. "TEST_CURRENT_ENABLE_LV,Test Mode input to control test current output" "0,1" bitfld.long 0x00 9. "OSC_COMP_ENABLE_LV,Power Down Signal for main comparator" "0,1" bitfld.long 0x00 5.--8. "GM_SEL_LV,Bits to control transconductance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4. "GM_TEST_SEL_LV,High during transconductance measurement otherwise should be low" "0,1" newline bitfld.long 0x00 3. "HYST_DISABLE_LV,disable hysteresis in comparator" "0,1" bitfld.long 0x00 2. "ALC_DISABLE_LV,disable automatic level controller" "0,1" bitfld.long 0x00 1. "PWD_LV,enable low power mode" "0,1" bitfld.long 0x00 0. "COSC_EN_LV,enable crystal oscillator mode when asserted(1)" "0,1" rgroup.long 0x04++0x03 line.long 0x00 "OSC_STATE,CRYSTAL OSCILLATOR STATUS" bitfld.long 0x00 0. "CLK_OK,Crystal oscillator status" "0,1" rgroup.long 0x800++0x03 line.long 0x00 "CHIP_VERSION,Device ID" hexmask.long.byte 0x00 16.--23. 1. "DIGPROG_MAJOR_UPPER,DIGPROG_MAJOR_UPPER" hexmask.long.byte 0x00 8.--15. 1. "DIGPROG_MAJOR_LOWER,DIGPROG_MAJOR_LOWER" hexmask.long.byte 0x00 0.--7. 1. "DIGPROG_MINOR,Bit[3:0] is the metal layer revision" tree.end tree "AXBS" base ad:0x44510000 group.long 0x00++0x03 line.long 0x00 "PRS0,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x10++0x03 line.long 0x00 "CRS0,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x100++0x03 line.long 0x00 "PRS1,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x110++0x03 line.long 0x00 "CRS1,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x200++0x03 line.long 0x00 "PRS2,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x210++0x03 line.long 0x00 "CRS2,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x300++0x03 line.long 0x00 "PRS3,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x310++0x03 line.long 0x00 "CRS3,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x400++0x03 line.long 0x00 "PRS4,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x410++0x03 line.long 0x00 "CRS4,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x500++0x03 line.long 0x00 "PRS5,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x510++0x03 line.long 0x00 "CRS5,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x600++0x03 line.long 0x00 "PRS6,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x610++0x03 line.long 0x00 "CRS6,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" group.long 0x700++0x03 line.long 0x00 "PRS7,Priority Slave Registers" bitfld.long 0x00 28.--30. "M7,Master 7 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 24.--26. "M6,Master 6 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 20.--22. "M5,Master 5 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 16.--18. "M4,Master 4 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 12.--14. "M3,Master 3 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 8.--10. "M2,Master 2 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." newline bitfld.long 0x00 4.--6. "M1,Master 1 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." bitfld.long 0x00 0.--2. "M0,Master 0 Priority" "0: This master has level 1 or highest priority..,1: This master has level 2 priority when..,2: This master has level 3 priority when..,3: This master has level 4 priority when..,4: This master has level 5 priority when..,5: This master has level 6 priority when..,6: This master has level 7 priority when..,7: This master has level 8 or lowest priority.." group.long 0x710++0x03 line.long 0x00 "CRS7,Control Register" bitfld.long 0x00 31. "RO,Read Only" "0: The CRSn and PRSn registers are writeable,1: The CRSn and PRSn registers are read-only and.." bitfld.long 0x00 23. "HPE7,High Priority Elevation 7" "0: The m7_high_priority input is disabled on..,1: The m7_high_priority input is enabled on this.." newline bitfld.long 0x00 22. "HPE6,High Priority Elevation 6" "0: The m6_high_priority input is disabled on..,1: The m6_high_priority input is enabled on this.." bitfld.long 0x00 21. "HPE5,High Priority Elevation 5" "0: The m5_high_priority input is disabled on..,1: The m5_high_priority input is enabled on this.." newline bitfld.long 0x00 20. "HPE4,High Priority Elevation 4" "0: The m4_high_priority input is disabled on..,1: The m4_high_priority input is enabled on this.." bitfld.long 0x00 19. "HPE3,High Priority Elevation 3" "0: The m3_high_priority input is disabled on..,1: The m3_high_priority input is enabled on this.." newline bitfld.long 0x00 18. "HPE2,High Priority Elevation 2" "0: The m2_high_priority input is disabled on..,1: The m2_high_priority input is enabled on this.." bitfld.long 0x00 17. "HPE1,High Priority Elevation 1" "0: The m1_high_priority input is disabled on..,1: The m1_high_priority input is enabled on this.." newline bitfld.long 0x00 16. "HPE0,High Priority Elevation 0" "0: The m0_high_priority input is disabled on..,1: The m0_high_priority input is enabled on this.." bitfld.long 0x00 8.--9. "ARB,Arbitration Mode" "0: Fixed priority,1: Round-robin (rotating) priority,?..." newline bitfld.long 0x00 4.--5. "PCTL,Parking Control" "0: When no master makes a request the arbiter..,1: When no master makes a request the arbiter..,2: Low-power park,?..." bitfld.long 0x00 0.--2. "PARK,Park" "0: Park on master port M0,1: Park on master port M1,2: Park on master port M2,3: Park on master port M3,4: Park on master port M4,5: Park on master port M5,6: Park on master port M6,7: Park on master port M7" tree.end tree "BBNSM" base ad:0x44440000 rgroup.long 0x00++0x03 line.long 0x00 "BBNSM_VID,BBNSM Version ID Register" hexmask.long.byte 0x00 16.--23. 1. "BBNSM_VID,BBNSM Version ID" hexmask.long.byte 0x00 8.--15. 1. "BBNSM_REV,BBNSM Revision" newline hexmask.long.byte 0x00 0.--7. 1. "BBNSM_IPID,BBNSM IP ID" rgroup.long 0x04++0x03 line.long 0x00 "BBNSM_FEATURES,BBNSM Features Register" bitfld.long 0x00 2.--7. "GPR_SZ,GPR Register Array Size" "0: This version of BBNSM does not implement a..,?..." group.long 0x08++0x03 line.long 0x00 "BBNSM_CTRL,BBNSM Control Register" bitfld.long 0x00 25. "TOSP,Turn Off System Power" "0: Leave system power on,1: Turn off system power when Dumb PMIC is enabled" bitfld.long 0x00 24. "DP_EN,Dumb PMIC Enable" "0: Smart PMIC is enabled,1: Dumb PMIC is enabled" newline bitfld.long 0x00 23. "PK_OVR,PMIC On Request Override" "0,1" bitfld.long 0x00 22. "PK_EN,PMIC On Request Enable" "0,1" newline bitfld.long 0x00 20.--21. "TURN_ON_TIME,Turn-On Time" "0: 500 milliseconds,1: 50 milliseconds,2: 100 milliseconds,3: 0 milliseconds" bitfld.long 0x00 18.--19. "DEBOUNCE,Debounce Time" "0: 50 milliseconds,1: 100 milliseconds,2: 500 milliseconds,3: 0 milliseconds" newline bitfld.long 0x00 16.--17. "BTN_TIMEOUT,Button Press Timeout" "0: 5 seconds,1: 10 seconds,2: 15 seconds,3: Timeout disabled" bitfld.long 0x00 8.--12. "CAL_VAL,Calibration Value" "0: +0 counts per each 32768 ticks of the counter..,1: +1 counts per each 32768 ticks of the counter..,2: +2 counts per each 32768 ticks of the counter..,?,?,?,?,?,?,?,?,?,?,?,?,15: +15 counts per each 32768 ticks of the..,16: -16 counts per each 32768 ticks of the..,17: -15 counts per each 32768 ticks of the..,?,?,?,?,?,?,?,?,?,?,?,?,30: -2 counts per each 32768 ticks of the..,31: -1 counts per each 32768 ticks of the.." newline bitfld.long 0x00 4. "CAL_EN,Calibration Enable" "0: RTC Time calibration is disabled,1: RTC Time calibration is enabled" bitfld.long 0x00 2.--3. "TA_EN,Time Alarm Enable" "?,1: Disable the time alarm,2: Enable the time alarm,?..." newline bitfld.long 0x00 0.--1. "RTC_EN,Real-Time Counter Enable" "?,1: Disable the real-time counter,2: Enable the real-time counter,?..." group.long 0x10++0x03 line.long 0x00 "BBNSM_INT_EN,BBNSM Interrupt Enable Register" bitfld.long 0x00 2.--3. "TA_INT_EN,Time Alarm Interrupt Enable" "?,1: Do not issue an interrupt when RTC has rolled..,2: Issue an interrupt when RTC has rolled over,?..." bitfld.long 0x00 0.--1. "RTC_INT_EN,Real-Time Counter Rollover Interrupt Enable" "?,1: Do not issue an interrupt when RTC has rolled..,2: Issue an interrupt when RTC has rolled over,?..." group.long 0x14++0x03 line.long 0x00 "BBNSM_EVENTS,BBNSM Events Register" rbitfld.long 0x00 7. "BTN,Button" "0: BTN_NOT_PRESSED,1: BTN_PRESSED" eventfld.long 0x00 6. "PWR_ON,Set Power On Event" "0: The set_pwr_on_irq interrupt has not been..,1: The set_pwr_on_irq interrupt has been requested" newline eventfld.long 0x00 5. "PWR_OFF,Set Power Off Event" "0: The set_pwr_off_irq interrupt has not been..,1: The set_pwr_off_irq interrupt has been.." eventfld.long 0x00 4. "EMG_OFF,Emergency Off Event" "0: An emergency power off has not been requested,1: An emergency power off has been requested" newline bitfld.long 0x00 2.--3. "TA,Time Alarm Event" "?,1: The real-time counter has not reached the..,2: The real-time counter has reached the alarm..,?..." bitfld.long 0x00 0.--1. "RTC_ROLL,Real-Time Counter Rollover Event" "?,1: The real-time counter has not rolled over,2: The real-time counter has rolled over,?..." group.long 0x24++0x03 line.long 0x00 "BBNSM_PAD_CTRL,BBNSM External Pad Control Register" bitfld.long 0x00 15. "PAD_CTRL15,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 14. "PAD_CTRL14,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 13. "PAD_CTRL13,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 12. "PAD_CTRL12,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 11. "PAD_CTRL11,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 10. "PAD_CTRL10,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 9. "PAD_CTRL9,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 8. "PAD_CTRL8,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 7. "PAD_CTRL7,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 6. "PAD_CTRL6,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 5. "PAD_CTRL5,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 4. "PAD_CTRL4,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 3. "PAD_CTRL3,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 2. "PAD_CTRL2,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" newline bitfld.long 0x00 1. "PAD_CTRL1,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" bitfld.long 0x00 0. "PAD_CTRL0,Control I/O Pads" "0: SoC specified pad control data,1: SoC specified pad control data" group.long 0x40++0x03 line.long 0x00 "BBNSM_RTC_LS,BBNSM Real-Time Counter LS Register" hexmask.long 0x00 0.--31. 1. "RTC,Real-time Counter" group.long 0x44++0x03 line.long 0x00 "BBNSM_RTC_MS,BBNSM Real-Time Counter MS Register" hexmask.long.word 0x00 0.--14. 1. "RTC,Real-Time Counter" group.long 0x50++0x03 line.long 0x00 "BBNSM_TA,BBNSM Time Alarm Register" hexmask.long 0x00 0.--31. 1. "TA,Time Alarm Value" repeat 8. (increment 0 1) (increment 0 0x04) group.long ($2+0x300)++0x03 line.long 0x00 "GPR[$1],General Purpose Register Word word $1" hexmask.long 0x00 0.--31. 1. "GPR,32 bits of the GPR" repeat.end tree.end tree "BBSMMIX" base ad:0x44410000 group.long 0x00++0x03 line.long 0x00 "SXOSC_ctrl,SXOSC Control Register" bitfld.long 0x00 16. "pwd_lv,Power down/enable signal" "0,1" bitfld.long 0x00 13.--15. "osc_test_mux_sel,Input from ATX select signal for testmux" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12. "osc_test_mux_en,osc test mux input" "0,1" bitfld.long 0x00 11. "misc_test1_in_lv,Miscellaneous test input" "0,1" bitfld.long 0x00 10. "misc_test0_in_lv,Miscellaneous test input" "0,1" bitfld.long 0x00 9. "misc_ctrl1_in_lv,Miscellaneous control input" "0,1" newline bitfld.long 0x00 8. "misc_ctrl0_in_lv,Miscellaneous control input" "0,1" bitfld.long 0x00 7. "gm_test_sel_lv,Enables gm testing in bench" "0,1" bitfld.long 0x00 4.--6. "gm_sel_lv,Bits to control transconductance of gm stage" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1.--3. "cur_prg,Bits to control tail current of comparator" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "cosc_en_lv,Software Reset" "0: cosc_en_lv_0,1: cosc_en_lv_1" group.long 0x04++0x03 line.long 0x00 "snvs_clkrst_ctrl,snvs_clkrst Control Register" rbitfld.long 0x00 15. "xtal_ok,indicates xtal clock is in freq range and source of 32KHz clock" "0,1" rbitfld.long 0x00 14. "tst_xtal_slow,indicates slow external xtal crystal" "0,1" rbitfld.long 0x00 13. "tst_xtal_fast,indicates fast external xtal crystal" "0,1" bitfld.long 0x00 9.--12. "vreg_trim,regulator voltage trim" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8. "vreg_enb,SNVS core regulator control" "0,1" bitfld.long 0x00 4.--7. "test_sel,test select inputs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "clk_trim,internal osc clock trim" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "BLK_CTRL_MLMIX" base ad:0x4A810000 group.long 0x00++0x03 line.long 0x00 "HS_EN,Enable Q handshake module" bitfld.long 0x00 0. "HS_EN,Enable Q handshake module" "0,1" tree.end tree "BLK_CTRL_NICMIX" base ad:0x49000000 group.long 0x00++0x03 line.long 0x00 "DEXSC_ERR,DEXSC error response configuration" bitfld.long 0x00 18. "DRAM_LOCK_ERR_RESP_EN,Lock bit of DRAM_ERR_RESP_EN and DRAM_EXC_ERR_RESP_EN" "0,1" bitfld.long 0x00 17. "DRAM_EXC_ERR_RESP_EN,dram dexsc exclusive error response enable" "0,1" bitfld.long 0x00 16. "DRAM_ERR_RESP_EN,dram dexsc error response enable" "0,1" bitfld.long 0x00 2. "OCRAM_LOCK_ERR_RESP_EN,Lock bit of OCRAM_ERR_RESP_EN and OCRAM_EXC_ERR_RESP_EN" "0,1" bitfld.long 0x00 1. "OCRAM_EXC_ERR_RESP_EN,ocram dexsc exclusive error response enable" "0,1" newline bitfld.long 0x00 0. "OCRAM_ERR_RESP_EN,ocram dexsc error response enable" "0,1" group.long 0x04++0x03 line.long 0x00 "OCRAM_RMW,RMW configuration" bitfld.long 0x00 0. "RMW_WAIT_BVALID,configure ocram RMW to wait for write response" "0,1" group.long 0x08++0x03 line.long 0x00 "AXI_LIMIT_WAKEUPMIX,configuration register for axi_limit_wakeupmix" hexmask.long.word 0x00 16.--31. 1. "BEAT_LIMIT,beat limit number" bitfld.long 0x00 0. "ENABLE,enable the beat limit on the access from wakeupmix" "0,1" group.long 0x0C++0x03 line.long 0x00 "CACHE_ATTR,configuration register for AxCACHE[1] override" bitfld.long 0x00 21. "HSIOMIX_AWCACHE,override value" "0,1" bitfld.long 0x00 20. "HSIOMIX_ARCACHE,override value" "0,1" bitfld.long 0x00 19. "CACHE_AWCACHE,override value" "0,1" bitfld.long 0x00 18. "CACHE_ARCACHE,override value" "0,1" bitfld.long 0x00 17. "WAKEUPMIX_AWCACHE,override value" "0,1" newline bitfld.long 0x00 16. "WAKEUPMIX_ARCACHE,override value" "0,1" bitfld.long 0x00 5. "HSIOMIX_AWCACHE_EN,enable AWCACHE[1] override" "0,1" bitfld.long 0x00 4. "HSIOMIX_ARCACHE_EN,enable ARCACHE[1] override" "0,1" bitfld.long 0x00 3. "CACHE_AWCACHE_EN,enable AWCACHE[1] override" "0,1" bitfld.long 0x00 2. "CACHE_ARCACHE_EN,enable ARCACHE[1] override" "0,1" newline bitfld.long 0x00 1. "WAKEUPMIX_AWCACHE_EN,enable AWCACHE[1] override" "0,1" bitfld.long 0x00 0. "WAKEUPMIX_ARCACHE_EN,enable ARCACHE[1] override" "0,1" group.long 0x10++0x03 line.long 0x00 "WAKEUPMIX_QOS,configuration register for QoS value from wakeupmix" bitfld.long 0x00 4.--7. "PANIC_AR,value of ar_qos_panic from wakeupmix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PANIC_AW,value of aw_qos_panic from wakeupmix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x14++0x03 line.long 0x00 "CACHE_QOS,configuration register for QoS value from A55" bitfld.long 0x00 20.--23. "DEFAULT_AR,value of ar_qos_default from A55" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "DEFAULT_AW,value of aw_qos_default from A55" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "PANIC_AR,value of ar_qos_panic from A55" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PANIC_AW,value of aw_qos_panic from A55" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x18++0x03 line.long 0x00 "MLMIX0_QOS,configuration register for QoS value from mlmix m0" bitfld.long 0x00 20.--23. "DEFAULT_AR,value of ar_qos_default from mlmix m0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "DEFAULT_AW,value of aw_qos_default from mlmix m0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "PANIC_AR,value of ar_qos_panic from mlmix m0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PANIC_AW,value of aw_qos_panic from mlmix m0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C++0x03 line.long 0x00 "MLMIX1_QOS,configuration register for QoS value from mlmix m1" bitfld.long 0x00 20.--23. "DEFAULT_AR,value of ar_qos_default from mlmix m1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "DEFAULT_AW,value of aw_qos_default from mlmix m1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "PANIC_AR,value of ar_qos_panic from mlmix m1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PANIC_AW,value of aw_qos_panic from mlmix m1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20++0x03 line.long 0x00 "HSIOMIX_QOS,configuration register for QoS value from hsiomix" bitfld.long 0x00 20.--23. "DEFAULT_AR,value of ar_qos_default from hsiomix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "DEFAULT_AW,value of aw_qos_default from hsiomix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "PANIC_AR,value of ar_qos_panic from hsiomix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PANIC_AW,value of aw_qos_panic from hsiomix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x24++0x03 line.long 0x00 "TIE_VALUE,GPR for uncertain tie0 or tie1" bitfld.long 0x00 29. "dftramhold,gic600.dftramhold" "0,1" bitfld.long 0x00 25.--28. "HPROT_gpv_central,nic400_central.HPROT_gpv_central" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 22.--24. "awsnoop_s,gic600.awsnoop_s" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18.--21. "arsnoop_s,gic600.arsnoop_s" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15.--17. "awuser_s,gic600.awuser_s" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "aruser_s,gic600.aruser_s" "0,1,2,3,4,5,6,7" bitfld.long 0x00 10.--11. "awbar_s,gic600.awbar_s" "0,1,2,3" bitfld.long 0x00 8.--9. "arbar_s,gic600.arbar_s" "0,1,2,3" bitfld.long 0x00 7. "gicp_allow_ns,gic600.gicp_allow_ns" "0,1" bitfld.long 0x00 6. "gict_allow_ns,gic600.gict_allow_ns" "0,1" newline bitfld.long 0x00 4.--5. "XCPT_RTN,dac_cache_r.xcpt_rtn and dac_cache_w.xcpt_rtn" "0,1,2,3" bitfld.long 0x00 0.--3. "AIPS4_HBSTRB,value of aips4.hbstrb" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "BLK_CTRL_NS_AONMIX" base ad:0x44210000 group.long 0x00++0x03 line.long 0x00 "GPC_CFG,GPC CORE SLEEP Request Select" bitfld.long 0x00 0. "M33_SLEEP_SEL,M33 SLEEP Request Select" "0: Select SLEEPING as request source,1: Select SLEEPDEEP as request source" group.long 0x04++0x03 line.long 0x00 "UPPER_ADDR,UPPER ADDR BITS[35:32]" bitfld.long 0x00 8.--11. "mtr_master,address bit [35:32] for mtr_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "edma1,address bit [35:32] for edma1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "sentinel,address bit [35:32] for sentinel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "IPG_DEBUG,IPG DEBUG MASK BIT" bitfld.long 0x00 14. "m33_pdm,no description available" "0,1" bitfld.long 0x00 13. "m33_i3c1,no description available" "0,1" bitfld.long 0x00 12. "m33_wdog2,no description available" "0,1" bitfld.long 0x00 11. "m33_wdog1,no description available" "0,1" newline bitfld.long 0x00 10. "m33_tpm2,no description available" "0,1" bitfld.long 0x00 9. "m33_tpm1,no description available" "0,1" bitfld.long 0x00 8. "m33_sai1,no description available" "0,1" bitfld.long 0x00 7. "m33_lptmr1,no description available" "0,1" newline bitfld.long 0x00 6. "m33_lpspi2,no description available" "0,1" bitfld.long 0x00 5. "m33_lpspi1,no description available" "0,1" bitfld.long 0x00 4. "m33_lpit1,no description available" "0,1" bitfld.long 0x00 3. "m33_lpi2c2,no description available" "0,1" newline bitfld.long 0x00 2. "m33_lpi2c1,no description available" "0,1" bitfld.long 0x00 1. "m33_edma1,no description available" "0,1" bitfld.long 0x00 0. "m33_can1,no description available" "0,1" repeat 2. (strings "0" "1" )(list 0x0 0x4 ) group.long ($2+0x0C)++0x03 line.long 0x00 "IPG_DEBUG_CA55C$1,IPG DEBUG MASK BIT CA55 CORE $1" bitfld.long 0x00 14. "pdm,no description available" "0,1" bitfld.long 0x00 13. "i3c1,no description available" "0,1" bitfld.long 0x00 12. "wdog2,no description available" "0,1" bitfld.long 0x00 11. "wdog1,no description available" "0,1" newline bitfld.long 0x00 10. "tpm2,no description available" "0,1" bitfld.long 0x00 9. "tpm1,no description available" "0,1" bitfld.long 0x00 8. "sai1,no description available" "0,1" bitfld.long 0x00 7. "lptmr1,no description available" "0,1" newline bitfld.long 0x00 6. "lpspi2,no description available" "0,1" bitfld.long 0x00 5. "lpspi1,no description available" "0,1" bitfld.long 0x00 4. "lpit1,no description available" "0,1" bitfld.long 0x00 3. "lpi2c2,no description available" "0,1" newline bitfld.long 0x00 2. "lpi2c1,no description available" "0,1" bitfld.long 0x00 1. "edma1,no description available" "0,1" bitfld.long 0x00 0. "can1,no description available" "0,1" repeat.end group.long 0x14++0x03 line.long 0x00 "QCH_DIS,IP QCHANNEL DISABLE REGISTER" bitfld.long 0x00 5. "SAI1,no description available" "0,1" bitfld.long 0x00 4. "LPSPI2,no description available" "0,1" bitfld.long 0x00 3. "LPSPI1,no description available" "0,1" bitfld.long 0x00 2. "LPUART2,no description available" "0,1" newline bitfld.long 0x00 1. "LPUART1,no description available" "0,1" bitfld.long 0x00 0. "GPIO1,no description available" "0,1" group.long 0x1C++0x03 line.long 0x00 "SSI,SSI master low power mode control" bitfld.long 0x00 1. "BLKHOLE_MODE_B,AONMIX SSI master blackhole mode" "0,1" rbitfld.long 0x00 0. "PAUSE_MODE,AONMIX SSI master pause mode" "0,1" group.long 0x20++0x03 line.long 0x00 "MQS_Settings,Several MQS settings include SAI selection Enable Software Reset clock divide factor and Oversample" hexmask.long.byte 0x00 8.--15. 1. "CLK_DIVIDE,clock divide factor configuration" bitfld.long 0x00 3. "OVERSAMPLE,Oversample enable" "0,1" bitfld.long 0x00 2. "SOFT_RESET,Software Reset" "0,1" bitfld.long 0x00 1. "MQS_EN,MQS Enable" "0,1" rgroup.long 0x28++0x03 line.long 0x00 "FUSE_ACC_DIS,Read-only version of the OCOTP fuse-access-disable bit" bitfld.long 0x00 0. "OSCCA_FUSE_READ_DIS," "0,1" rgroup.long 0x30++0x03 line.long 0x00 "OCOTP_FUSE_DATA0,Read-only version of OCOTP fusedata_mtr_cfg_0" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA0,OCOTP_FUSE_DATA0" rgroup.long 0x34++0x03 line.long 0x00 "OCOTP_FUSE_DATA1,Read-only version of OCOTP fusedata_mtr_cfg_1" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA1,OCOTP_FUSE_DATA1" rgroup.long 0x38++0x03 line.long 0x00 "OCOTP_FUSE_DATA2,Read-only version of OCOTP fusedata_mtr_cfg_2" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA2,OCOTP_FUSE_DATA2" rgroup.long 0x3C++0x03 line.long 0x00 "OCOTP_FUSE_DATA3,Read-only version of OCOTP fusedata_mtr_cfg_3" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA3,OCOTP_FUSE_DATA3" rgroup.long 0x40++0x03 line.long 0x00 "OCOTP_FUSE_DATA4,Read-only version of OCOTP fusedata_mtr_cfg_4" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA4,OCOTP_FUSE_DATA4" rgroup.long 0x44++0x03 line.long 0x00 "OCOTP_FUSE_DATA5,Read-only version of OCOTP fusedata_mtr_cfg_5" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA5,OCOTP_FUSE_DATA5" rgroup.long 0x48++0x03 line.long 0x00 "OCOTP_FUSE_DATA6,Read-only version of OCOTP fusedata_mtr_cfg_6" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA6,OCOTP_FUSE_DATA6" rgroup.long 0x4C++0x03 line.long 0x00 "OCOTP_FUSE_DATA7,Read-only version of OCOTP fusedata_mtr_cfg_7" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA7,OCOTP_FUSE_DATA7" rgroup.long 0x50++0x03 line.long 0x00 "OCOTP_FUSE_DATA8,Read-only version of OCOTP fusedata_mem_trim_cfg0" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA8,OCOTP_FUSE_DATA8" rgroup.long 0x54++0x03 line.long 0x00 "OCOTP_FUSE_DATA9,Read-only version of OCOTP fusedata_mem_trim_cfg1" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA9,OCOTP_FUSE_DATA9" rgroup.long 0x58++0x03 line.long 0x00 "OCOTP_FUSE_DATA10,Read-only version of OCOTP fusedata_mem_trim_cfg2" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA10,OCOTP_FUSE_DATA10" rgroup.long 0x5C++0x03 line.long 0x00 "OCOTP_FUSE_DATA11,Read-only version of OCOTP fusedata_mem_trim_cfg3" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA11,OCOTP_FUSE_DATA12" rgroup.long 0x60++0x03 line.long 0x00 "OCOTP_FUSE_DATA12,Read-only version of OCOTP fusedata_mem_trim_cfg4" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA12,OCOTP_FUSE_DATA13" rgroup.long 0x64++0x03 line.long 0x00 "OCOTP_FUSE_DATA13,Read-only version of OCOTP fusedata_mem_trim_cfg5" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA13,OCOTP_FUSE_DATA13" rgroup.long 0x68++0x03 line.long 0x00 "OCOTP_FUSE_DATA14,Read-only version of OCOTP fusedata_mem_trim_cfg6" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA14,OCOTP_FUSE_DATA14" rgroup.long 0x6C++0x03 line.long 0x00 "OCOTP_FUSE_DATA15,Read-only version of OCOTP fusedata_mem_trim_cfg7" hexmask.long 0x00 0.--31. 1. "OCOTP_FUSE_DATA15,OCOTP_FUSE_DATA15" group.long 0x70++0x03 line.long 0x00 "I3C1_WAKEUP,I3C1 WAKEUPX CLR" bitfld.long 0x00 0. "irq_clr,no description available" "0,1" rgroup.long 0x74++0x03 line.long 0x00 "OCOTP_STATUS,OCOTP status register" bitfld.long 0x00 0. "busy,OCOTP controller busy bit" "0,1" group.long 0x78++0x03 line.long 0x00 "pdm_clk_sel,PDM clock selection register" bitfld.long 0x00 0. "sel,select source for pdm clock" "0,1" group.long 0x7C++0x03 line.long 0x00 "I3C1_SDA_IRQ,I3C1 SDA IRQ CONTROL BIT" bitfld.long 0x00 0. "enable,IRQ enable bit" "0,1" rgroup.long 0x80++0x03 line.long 0x00 "fastboot_enable,fastboot enable" bitfld.long 0x00 2.--3. "BP_FASTBOOT_ENABLE,BP_FASTBOOT_ENABLE bits" "0,1,2,3" bitfld.long 0x00 0.--1. "FASTBOOT_ENABLE,FASTBOOT_ENABLE bits" "0,1,2,3" rgroup.long 0x84++0x03 line.long 0x00 "SENTINEL_FW_PRESENT,Read only bit for fuse SENTINEL_FW_PRESENT" bitfld.long 0x00 0. "sentinel_fw_present,no description available" "0,1" tree.end tree "BLK_CTRL_S_AONMIX" base ad:0x444F0000 repeat 7. (strings "0" "1" "2" "3" "4" "5" "6" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 ) group.long ($2+0x00)++0x03 line.long 0x00 "CM33_IRQ_MASK$1,CM33_IRQ_MASK $1" hexmask.long 0x00 0.--31. 1. "m,CM33 IRQ MASK" repeat.end group.long 0x1C++0x03 line.long 0x00 "INITNSVTOR,M33 restart non-secure address" hexmask.long 0x00 0.--31. 1. "m,INITSVTOR" repeat 7. (strings "0" "1" "2" "3" "4" "5" "6" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 ) group.long ($2+0x40)++0x03 line.long 0x00 "CA55_IRQ_MASK$1,CA55_IRQ_MASK $1" hexmask.long 0x00 0.--31. 1. "m,CA55 IRQ MASK" repeat.end group.long 0x5C++0x03 line.long 0x00 "INITSVTOR,M33 restart secure address" hexmask.long 0x00 0.--31. 1. "m,INITSVTOR" group.long 0x60++0x03 line.long 0x00 "M33_CFG,M33 Configure Register" bitfld.long 0x00 3.--4. "TCM_SIZE,M33 TCM SIZE" "0: Regular TCM 128KB Code TCM and 128KB Sys TCM,1: Double Code TCM 256KB Code TCM,2: Double Sys TCM 256KB Sys TCM,?..." bitfld.long 0x00 2. "WAIT,M33 CPU WAIT" "0,1" group.long 0x90++0x03 line.long 0x00 "AXBS_AON_CTRL,AXBS_AON_CTRL" bitfld.long 0x00 7. "M6_HIGH_PRIORITY,M6 High Priority Control Bit" "0,1" bitfld.long 0x00 6. "M5_HIGH_PRIORITY,M5 High Priority Control Bit" "0,1" bitfld.long 0x00 5. "M4_HIGH_PRIORITY,M4 High Priority Control Bit" "0,1" newline bitfld.long 0x00 4. "M3_HIGH_PRIORITY,M3 High Priority Control Bit" "0,1" bitfld.long 0x00 3. "M2_HIGH_PRIORITY,M2 High Priority Control Bit" "0,1" bitfld.long 0x00 2. "M1_HIGH_PRIORITY,M1 High Priority Control Bit" "0,1" newline bitfld.long 0x00 1. "M0_HIGH_PRIORITY,M0 High Priority Control Bit" "0,1" bitfld.long 0x00 0. "FORCE_ROUND_ROBIN,AXBS_AON FORCE_ROUND_ROBIN" "0,1" group.long 0x100++0x03 line.long 0x00 "DAP_ACCESS_STKYBIT,Dap Access Sticky Bit" bitfld.long 0x00 1. "A55,A55 DAP_ACCESS_STKYBIT" "0,1" bitfld.long 0x00 0. "M33,M33 DAP_ACCESS_STKYBIT" "0,1" group.long 0x110++0x03 line.long 0x00 "LP_HANDSHAKE,low power handshake enable register" hexmask.long 0x00 0.--31. 1. "ENABLE,lowpower handshake enable" group.long 0x114++0x03 line.long 0x00 "LP_HANDSHAKE2,low power handshake enable register" hexmask.long 0x00 0.--31. 1. "ENABLE,no description available" group.long 0x118++0x03 line.long 0x00 "CA55_CPUWAIT,CPUWAIT settings for CA55 CPU" bitfld.long 0x00 1. "CPU1_WAIT,CPU1_WAIT" "0,1" bitfld.long 0x00 0. "CPU0_WAIT,CPU0_WAIT" "0,1" group.long 0x11C++0x03 line.long 0x00 "CA55_RVBARADDR0_L,CA55_RVBARADDR0_L" hexmask.long 0x00 0.--31. 1. "ADDR0_L,no description available" group.long 0x120++0x03 line.long 0x00 "CA55_RVBARADDR0_H,CA55_RVBARADDR0_H" bitfld.long 0x00 0.--5. "ADDR0_H,higher 6 bits of the boot address of CA55 core0 in 64bit mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x124++0x03 line.long 0x00 "CA55_RVBARADDR1_L,no description available" hexmask.long 0x00 0.--31. 1. "ADDR1_L,CA55_RVBARADDR1_L" group.long 0x128++0x03 line.long 0x00 "CA55_RVBARADDR1_H,no description available" bitfld.long 0x00 0.--5. "ADDR1_H,CA55_RVBARADDR1_H" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x12C++0x03 line.long 0x00 "S401_IRQ_MASK,Mask bits of S401 interrupt" bitfld.long 0x00 8. "wdg_reset,no description available" "0,1" bitfld.long 0x00 7. "puf_reset,no description available" "0,1" bitfld.long 0x00 6. "lc_bricked,no description available" "0,1" newline bitfld.long 0x00 5. "lmda_sys_fail,no description available" "0,1" bitfld.long 0x00 4. "noclk_32k,no description available" "0,1" bitfld.long 0x00 3. "lmda_reset_req,no description available" "0,1" newline bitfld.long 0x00 2. "lmda_32k_reset_req,no description available" "0,1" bitfld.long 0x00 1. "noclk_ref1,no description available" "0,1" bitfld.long 0x00 0. "noclk_ref2,no description available" "0,1" group.long 0x130++0x03 line.long 0x00 "S401_RESET_REQ_MASK,Mask bits of S401 reset" bitfld.long 0x00 8. "wdg_reset,no description available" "0,1" bitfld.long 0x00 7. "puf_reset,no description available" "0,1" bitfld.long 0x00 6. "lc_bricked,no description available" "0,1" newline bitfld.long 0x00 5. "lmda_sys_fail,no description available" "0,1" bitfld.long 0x00 4. "noclk_32k,no description available" "0,1" bitfld.long 0x00 3. "lmda_reset_req,no description available" "0,1" newline bitfld.long 0x00 2. "lmda_32k_reset_req,no description available" "0,1" bitfld.long 0x00 1. "noclk_ref1,no description available" "0,1" bitfld.long 0x00 0. "noclk_ref2,no description available" "0,1" group.long 0x134++0x03 line.long 0x00 "S401_HALT_ST,S401 halt status register" bitfld.long 0x00 8. "S401_HALT_EXIT_IRQ_CLR,no description available" "0,1" rbitfld.long 0x00 0. "S401_HALT_ACK,no description available" "0,1" group.long 0x138++0x03 line.long 0x00 "CA55_MODE,Control the boot mode of two ca55 cores" bitfld.long 0x00 0.--1. "AA64nAA32,core0 initial mode control bit" "0,1,2,3" group.long 0x13C++0x03 line.long 0x00 "NMI_MASK,NMI MASK bits" bitfld.long 0x00 0. "CM33,CM33 NMI mask bit" "0,1" group.long 0x140++0x03 line.long 0x00 "NMI_CLR,NMI clear bit" hexmask.long 0x00 1.--31. 1. "RSVD,no description available" bitfld.long 0x00 0. "CM33,NMI clear bit" "0,1" group.long 0x144++0x03 line.long 0x00 "wdog_any_mask,Wdog any mask" bitfld.long 0x00 4. "wdog5,wdog5 to wdog_any mask bit" "0,1" bitfld.long 0x00 3. "wdog4,wdog4 to wdog_any mask bit" "0,1" bitfld.long 0x00 2. "wdog3,wdog3 to wdog_any mask bit" "0,1" newline bitfld.long 0x00 1. "wdog2,wdog2 to wdog_any mask bit" "0,1" bitfld.long 0x00 0. "wdog1,wdog1 to wdog_any mask bit" "0,1" group.long 0x148++0x03 line.long 0x00 "s4v1_ipi_noclk_ref1,s4v1_ipi_noclk_ref1 clear register" bitfld.long 0x00 0. "slow_clear,clear the interrupt" "0,1" tree.end tree "BLK_CTRL_WAKEUPMIX" base ad:0x42420000 group.long 0x00++0x03 line.long 0x00 "UPPER_ADDR,UPPER ADDR BITS[35:32]" bitfld.long 0x00 16.--19. "usdhc2,address bit [35:32] for usdhc2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "usdhc1,address bit [35:32] for usdhc1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "testport,address bit [35:32] for testport" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "dap,address bit [35:32] for dap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "emda2,address bit [35:32] for edma2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x04++0x03 line.long 0x00 "IPG_DEBUG_CM33,IPG DEBUG mask bit" bitfld.long 0x00 28. "enet1,no description available" "0,1" bitfld.long 0x00 27. "sai3,no description available" "0,1" bitfld.long 0x00 26. "sai2,no description available" "0,1" bitfld.long 0x00 25. "i3c2,no description available" "0,1" bitfld.long 0x00 24. "wdog5,no description available" "0,1" newline bitfld.long 0x00 23. "wdog4,no description available" "0,1" bitfld.long 0x00 22. "wdog3,no description available" "0,1" bitfld.long 0x00 21. "tpm6,no description available" "0,1" bitfld.long 0x00 20. "tpm5,no description available" "0,1" bitfld.long 0x00 19. "tpm4,no description available" "0,1" newline bitfld.long 0x00 18. "tpm3,no description available" "0,1" bitfld.long 0x00 17. "lptmr2,no description available" "0,1" bitfld.long 0x00 16. "lpspi8,no description available" "0,1" bitfld.long 0x00 15. "lpspi7,no description available" "0,1" bitfld.long 0x00 14. "lpspi6,no description available" "0,1" newline bitfld.long 0x00 13. "lpspi5,no description available" "0,1" bitfld.long 0x00 12. "lpspi4,no description available" "0,1" bitfld.long 0x00 11. "lpspi3,no description available" "0,1" bitfld.long 0x00 10. "lpit2,no description available" "0,1" bitfld.long 0x00 9. "lpi2c8,no description available" "0,1" newline bitfld.long 0x00 8. "lpi2c7,no description available" "0,1" bitfld.long 0x00 7. "lpi2c6,no description available" "0,1" bitfld.long 0x00 6. "lpi2c5,no description available" "0,1" bitfld.long 0x00 5. "lpi2c4,no description available" "0,1" bitfld.long 0x00 4. "lpi2c3,no description available" "0,1" newline bitfld.long 0x00 3. "flexio2,no description available" "0,1" bitfld.long 0x00 2. "flexio1,no description available" "0,1" bitfld.long 0x00 1. "edma2,no description available" "0,1" bitfld.long 0x00 0. "can2,no description available" "0,1" group.long 0x10++0x03 line.long 0x00 "QCH_DIS,QCHANNEL DISABLE REGISTER" bitfld.long 0x00 9. "LPUART6,no description available" "0,1" bitfld.long 0x00 8. "LPUART5,no description available" "0,1" bitfld.long 0x00 7. "LPUART4,no description available" "0,1" bitfld.long 0x00 6. "LPUART3,no description available" "0,1" bitfld.long 0x00 5. "LPSPI4,no description available" "0,1" newline bitfld.long 0x00 4. "LPSPI3,no description available" "0,1" bitfld.long 0x00 3. "FLEXIO2,no description available" "0,1" bitfld.long 0x00 2. "FLEXIO1,no description available" "0,1" bitfld.long 0x00 1. "GPIO3,no description available" "0,1" bitfld.long 0x00 0. "GPIO2,no description available" "0,1" group.long 0x14++0x03 line.long 0x00 "SSI,SSI master low power mode control" bitfld.long 0x00 1. "BLKHOLE_MODE_B,WAKEUPMIX SSI master blackhole mode" "0,1" rbitfld.long 0x00 0. "PAUSE_MODE,WAKEUPMIX SSI master pause mode" "0,1" group.long 0x1C++0x03 line.long 0x00 "DEXSC_ERR,DEXSC error response configuration" bitfld.long 0x00 1. "LOCK_EXC_ERR_RESP_EN,Lock bit of EXC_ERR_RESP_EN" "0,1" bitfld.long 0x00 0. "EXC_ERR_RESP_EN,M7 TCM gasket exclusive error response enable" "0,1" group.long 0x20++0x03 line.long 0x00 "MQS_SETTING,MQS Settings" hexmask.long.byte 0x00 8.--15. 1. "CLK_DIVIDE,clock divide factor configuration" bitfld.long 0x00 3. "OVERSAMPLE,Oversample enable" "0,1" bitfld.long 0x00 2. "SOFT_RESET,Software Reset" "0,1" bitfld.long 0x00 1. "MQS_EN,MQS Enable" "0,1" bitfld.long 0x00 0. "SAI_SEL,no description available" "0,1" group.long 0x24++0x03 line.long 0x00 "SAI_CLK_SEL,sai2 and sai3 mclk1~3 clk root mux settings" bitfld.long 0x00 20.--22. "SAI3_MCLK3,no description available" "0,1,2,3,4,5,6,7" bitfld.long 0x00 17.--19. "SAI3_MCLK2,no description available" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16. "SAI3_MCLK1,no description available" "0,1" bitfld.long 0x00 4.--6. "SAI2_MCLK3,no description available" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1.--3. "SAI2_MCLK2,no description available" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SAI2_MCLK1,no description available" "0,1" group.long 0x28++0x03 line.long 0x00 "GPR,enet qos control signals" bitfld.long 0x00 4. "DIS_CRC_CHK,no description available" "0,1" bitfld.long 0x00 1.--3. "MODE,no description available" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "ENABLE,no description available" "0,1" group.long 0x2C++0x03 line.long 0x00 "ENET_CLK_SEL,Enet clk direction selection" bitfld.long 0x00 1. "enet1_tx_clk_sel,no description available" "0,1" bitfld.long 0x00 0. "enet_qos_clk_tx_clk_sel,no description available" "0,1" rgroup.long 0x34++0x03 line.long 0x00 "VOLT_DETECT,Voltage detectors output" bitfld.long 0x00 3. "supply_detector_WAKEUP,no description available" "0,1" bitfld.long 0x00 2. "supply_detector_SD2,no description available" "0,1" bitfld.long 0x00 1. "supply_detector_GPIO,no description available" "0,1" bitfld.long 0x00 0. "supply_detector_AON,no description available" "0,1" group.long 0x38++0x03 line.long 0x00 "I3C2_WAKEUP,I3C2 WAKEUPX CLR" bitfld.long 0x00 0. "irq_clr,no description available" "0,1" repeat 2. (strings "0" "1" )(list 0x0 0x4 ) group.long ($2+0x3C)++0x03 line.long 0x00 "IPG_DEBUG_CA55C$1,IPG DEBUG mask bit for CA55 core $1" bitfld.long 0x00 28. "enet1,no description available" "0,1" bitfld.long 0x00 27. "sai3,no description available" "0,1" bitfld.long 0x00 26. "sai2,no description available" "0,1" bitfld.long 0x00 25. "i3c2,no description available" "0,1" bitfld.long 0x00 24. "wdog5,no description available" "0,1" newline bitfld.long 0x00 23. "wdog4,no description available" "0,1" bitfld.long 0x00 22. "wdog3,no description available" "0,1" bitfld.long 0x00 21. "tpm6,no description available" "0,1" bitfld.long 0x00 20. "tpm5,no description available" "0,1" bitfld.long 0x00 19. "tpm4,no description available" "0,1" newline bitfld.long 0x00 18. "tpm3,no description available" "0,1" bitfld.long 0x00 17. "lptmr2,no description available" "0,1" bitfld.long 0x00 16. "lpspi8,no description available" "0,1" bitfld.long 0x00 15. "lpspi7,no description available" "0,1" bitfld.long 0x00 14. "lpspi6,no description available" "0,1" newline bitfld.long 0x00 13. "lpspi5,no description available" "0,1" bitfld.long 0x00 12. "lpspi4,no description available" "0,1" bitfld.long 0x00 11. "lpspi3,no description available" "0,1" bitfld.long 0x00 10. "lpit2,no description available" "0,1" bitfld.long 0x00 9. "lpi2c8,no description available" "0,1" newline bitfld.long 0x00 8. "lpi2c7,no description available" "0,1" bitfld.long 0x00 7. "lpi2c6,no description available" "0,1" bitfld.long 0x00 6. "lpi2c5,no description available" "0,1" bitfld.long 0x00 5. "lpi2c4,no description available" "0,1" bitfld.long 0x00 4. "lpi2c3,no description available" "0,1" newline bitfld.long 0x00 3. "flexio2,no description available" "0,1" bitfld.long 0x00 2. "flexio1,no description available" "0,1" bitfld.long 0x00 1. "edma2,no description available" "0,1" bitfld.long 0x00 0. "can2,no description available" "0,1" repeat.end group.long 0x44++0x03 line.long 0x00 "AXI_ATTR_CFG,AXI CACHE OVERRITE BIT" bitfld.long 0x00 5. "awcache_usdhc3,Overite awcache of usdhc3" "0,1" bitfld.long 0x00 4. "arcache_usdhc3,Overite arcache of usdhc3" "0,1" bitfld.long 0x00 3. "awcache_usdhc2,Overite awcache of usdhc2" "0,1" bitfld.long 0x00 2. "arcache_usdhc2,Overite arcache of usdhc2" "0,1" bitfld.long 0x00 1. "awcache_usdhc1,Overite awcache of usdhc1" "0,1" newline bitfld.long 0x00 0. "arcache_usdhc1,Overite arcache of usdhc1" "0,1" group.long 0x48++0x03 line.long 0x00 "I3C2_SDA_IRQ,I3C SDA IRQ CONTROL BIT" bitfld.long 0x00 0. "enable,IRQ enable bit" "0,1" tree.end tree "CAN" repeat 2. (list 1. 2.) (list ad:0x443A0000 ad:0x425B0000) tree "CAN$1" base $2 group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. "MDIS,Module Disable" "0: Enable the FlexCAN module,1: Disable the FlexCAN module" bitfld.long 0x00 30. "FRZ,Freeze Enable" "0: Not enabled to enter Freeze mode,1: Enabled to enter Freeze mode" newline bitfld.long 0x00 29. "RFEN,Legacy Rx FIFO Enable" "0: Legacy Rx FIFO not enabled,1: Legacy Rx FIFO enabled" bitfld.long 0x00 28. "HALT,Halt FlexCAN" "0: No Freeze mode request,1: Enters Freeze mode if the FRZ bit is asserted" newline rbitfld.long 0x00 27. "NOTRDY,FlexCAN Not Ready" "0: FlexCAN module is either in Normal mode..,1: FlexCAN module is either in Disable mode Doze.." bitfld.long 0x00 26. "WAKMSK,Wake Up Interrupt Mask" "0: Wake Up interrupt is disabled,1: Wake Up interrupt is enabled" newline bitfld.long 0x00 25. "SOFTRST,Soft Reset" "0: SOFTRST_no_reset_request,1: Resets the registers affected by soft reset" rbitfld.long 0x00 24. "FRZACK,Freeze Mode Acknowledge" "0: FlexCAN not in Freeze mode prescaler running,1: FlexCAN in Freeze mode prescaler stopped" newline bitfld.long 0x00 23. "SUPV,Supervisor Mode" "0: FlexCAN is in User mode,1: FlexCAN is in Supervisor mode" bitfld.long 0x00 22. "SLFWAK,Self Wake Up" "0: FlexCAN Self Wake Up feature is disabled,1: FlexCAN Self Wake Up feature is enabled" newline bitfld.long 0x00 21. "WRNEN,Warning Interrupt Enable" "0: TWRNINT and RWRNINT bits are zero independent..,1: TWRNINT and RWRNINT bits are set when the.." rbitfld.long 0x00 20. "LPMACK,Low-Power Mode Acknowledge" "0: FlexCAN is not in a low-power mode,1: FlexCAN is in a low-power mode" newline bitfld.long 0x00 19. "WAKSRC,Wake Up Source" "0: FlexCAN uses the unfiltered Rx input to..,1: FlexCAN uses the filtered Rx input to detect.." bitfld.long 0x00 18. "DOZE,Doze Mode Enable" "0: FlexCAN is not enabled to enter low-power..,1: FlexCAN is enabled to enter low-power mode.." newline bitfld.long 0x00 17. "SRXDIS,Self Reception Disable" "0: Self-reception enabled,1: Self-reception disabled" bitfld.long 0x00 16. "IRMQ,Individual Rx Masking And Queue Enable" "0: Individual Rx masking and queue feature are..,1: Individual Rx masking and queue feature are.." newline bitfld.long 0x00 15. "DMA,DMA Enable" "0: DMA feature for Legacy RX FIFO or Enhanced Rx..,1: DMA feature for Legacy RX FIFO or Enhanced Rx.." bitfld.long 0x00 13. "LPRIOEN,Local Priority Enable" "0: Local Priority disabled,1: Local Priority enabled" newline bitfld.long 0x00 12. "AEN,Abort Enable" "0: Abort disabled,1: Abort enabled" bitfld.long 0x00 11. "FDEN,CAN FD operation enable" "0: CAN FD is disabled,1: CAN FD is enabled" newline bitfld.long 0x00 8.--9. "IDAM,ID Acceptance Mode" "0: Format A,1: Format B,2: Format C,3: Format D" hexmask.long.byte 0x00 0.--6. 1. "MAXMB,Number Of The Last Message Buffer" group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. "PRESDIV,Prescaler Division Factor" bitfld.long 0x00 22.--23. "RJW,Resync Jump Width" "0,1,2,3" newline bitfld.long 0x00 19.--21. "PSEG1,Phase Segment 1" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. "PSEG2,Phase Segment 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "BOFFMSK,Bus Off Interrupt Mask" "0: Bus Off interrupt disabled,1: Bus Off interrupt enabled" bitfld.long 0x00 14. "ERRMSK,Error Interrupt Mask" "0: Error interrupt disabled,1: Error interrupt enabled" newline bitfld.long 0x00 13. "CLKSRC,CAN Engine Clock Source" "0: The CAN engine clock source is the oscillator..,1: The CAN engine clock source is the peripheral.." bitfld.long 0x00 12. "LPB,Loop Back Mode" "0: Loop Back disabled,1: Loop Back enabled" newline bitfld.long 0x00 11. "TWRNMSK,Tx Warning Interrupt Mask" "0: Tx Warning interrupt disabled,1: Tx Warning interrupt enabled" bitfld.long 0x00 10. "RWRNMSK,Rx Warning Interrupt Mask" "0: Rx Warning interrupt disabled,1: Rx Warning interrupt enabled" newline bitfld.long 0x00 7. "SMP,CAN Bit Sampling" "0: Just one sample is used to determine the bit..,1: Three samples are used to determine the value.." bitfld.long 0x00 6. "BOFFREC,Bus Off Recovery" "0: Automatic recovering from Bus Off state enabled,1: Automatic recovering from Bus Off state.." newline bitfld.long 0x00 5. "TSYN,Timer Sync" "0: Timer sync feature disabled,1: Timer sync feature enabled" bitfld.long 0x00 4. "LBUF,Lowest Buffer Transmitted First" "0: Buffer with highest priority is transmitted..,1: Lowest number buffer is transmitted first" newline bitfld.long 0x00 3. "LOM,Listen-Only Mode" "0: Listen-Only mode is deactivated,1: FlexCAN module operates in Listen-Only mode" bitfld.long 0x00 0.--2. "PROPSEG,Propagation Segment" "0,1,2,3,4,5,6,7" group.long 0x08++0x03 line.long 0x00 "TIMER,Free Running Timer" hexmask.long.word 0x00 0.--15. 1. "TIMER,Timer Value" group.long 0x0C++0x03 line.long 0x00 "TCR,Test Configuration" bitfld.long 0x00 10. "TRD,Test" "0: Read operations behave as in Normal mode,1: Read access by CPU does not cause changes in.." bitfld.long 0x00 9. "BIT_CLS,Output Bit Clock" "0: output_bit_clock_not_sample,1: Internal FlexCAN bit clock on ipp_do_cantx pin" newline bitfld.long 0x00 8. "DSCACK,Discard Acknowledge" "0: acknowledge_bit_discard_no,1: acknowledge_bit_discard_yes" group.long 0x10++0x03 line.long 0x00 "RXMGMASK,Rx Mailboxes Global Mask Register" hexmask.long 0x00 0.--31. 1. "MG,Rx Mailboxes Global Mask Bits" group.long 0x14++0x03 line.long 0x00 "RX14MASK,Rx 14 Mask Register" hexmask.long 0x00 0.--31. 1. "RX14M,Rx Buffer 14 Mask Bits" group.long 0x18++0x03 line.long 0x00 "RX15MASK,Rx 15 Mask Register" hexmask.long 0x00 0.--31. 1. "RX15M,Rx Buffer 15 Mask Bits" group.long 0x1C++0x03 line.long 0x00 "ECR,Error Counter" hexmask.long.byte 0x00 24.--31. 1. "RXERRCNT_FAST,Receive Error Counter for fast bits" hexmask.long.byte 0x00 16.--23. 1. "TXERRCNT_FAST,Transmit Error Counter for fast bits" newline hexmask.long.byte 0x00 8.--15. 1. "RXERRCNT,Receive Error Counter" hexmask.long.byte 0x00 0.--7. 1. "TXERRCNT,Transmit Error Counter" group.long 0x20++0x03 line.long 0x00 "ESR1,Error and Status 1 Register" rbitfld.long 0x00 31. "BIT1ERR_FAST,Bit1 Error in the Data Phase of CAN FD frames with the BRS bit set" "0: No such occurrence,1: At least one bit sent as recessive is.." rbitfld.long 0x00 30. "BIT0ERR_FAST,Bit0 Error in the Data Phase of CAN FD frames with the BRS bit set" "0: No such occurrence,1: At least one bit sent as dominant is received.." newline rbitfld.long 0x00 28. "CRCERR_FAST,Cyclic Redundancy Check Error in the CRC field of CAN FD frames with the BRS bit set" "0: No such occurrence,1: A CRC error occurred since last read of this.." rbitfld.long 0x00 27. "FRMERR_FAST,Form Error in the Data Phase of CAN FD frames with the BRS bit set" "0: No such occurrence,1: A form error occurred since last read of this.." newline rbitfld.long 0x00 26. "STFERR_FAST,Stuffing Error in the Data Phase of CAN FD frames with the BRS bit set" "0: No such occurrence,1: A stuffing error occurred since last read of.." eventfld.long 0x00 21. "ERROVR,Error Overrun" "0: Overrun has not occurred,1: Overrun has occurred" newline eventfld.long 0x00 20. "ERRINT_FAST,Error interrupt for errors detected in Data Phase of CAN FD frames with BRS bit set" "0: errors_data_phase_no,1: Indicates setting of any error bit detected.." eventfld.long 0x00 19. "BOFFDONEINT,Bus Off Done Interrupt" "0: No such occurrence,1: FlexCAN module has completed Bus Off process" newline rbitfld.long 0x00 18. "SYNCH,CAN Synchronization Status" "0: FlexCAN is not synchronized to the CAN bus,1: FlexCAN is synchronized to the CAN bus" eventfld.long 0x00 17. "TWRNINT,Tx Warning Interrupt Flag" "0: No such occurrence,1: The Tx error counter transitioned from less.." newline eventfld.long 0x00 16. "RWRNINT,Rx Warning Interrupt Flag" "0: No such occurrence,1: The Rx error counter transitioned from less.." rbitfld.long 0x00 15. "BIT1ERR,Bit1 Error" "0: No such occurrence,1: At least one bit sent as recessive is.." newline rbitfld.long 0x00 14. "BIT0ERR,Bit0 Error" "0: No such occurrence,1: At least one bit sent as dominant is received.." rbitfld.long 0x00 13. "ACKERR,Acknowledge Error" "0: No such occurrence,1: An ACK error occurred since last read of this.." newline rbitfld.long 0x00 12. "CRCERR,Cyclic Redundancy Check Error" "0: No such occurrence,1: A CRC error occurred since last read of this.." rbitfld.long 0x00 11. "FRMERR,Form Error" "0: No such occurrence,1: A Form Error occurred since last read of this.." newline rbitfld.long 0x00 10. "STFERR,Stuffing Error" "0: No such occurrence,1: A stuffing error occurred since last read of.." rbitfld.long 0x00 9. "TXWRN,TX Error Warning" "0: No such occurrence,1: TXERRCNT is greater than or equal to 96" newline rbitfld.long 0x00 8. "RXWRN,Rx Error Warning" "0: No such occurrence,1: RXERRCNT is greater than or equal to 96" rbitfld.long 0x00 7. "IDLE,IDLE" "0: No such occurrence,1: CAN bus is now IDLE" newline rbitfld.long 0x00 6. "TX,FlexCAN In Transmission" "0: FlexCAN is not transmitting a message,1: FlexCAN is transmitting a message" rbitfld.long 0x00 4.--5. "FLTCONF,Fault Confinement State" "0: error_active,1: error_passive,2: bus_off,3: bus_off" newline rbitfld.long 0x00 3. "RX,FlexCAN In Reception" "0: FlexCAN is not receiving a message,1: FlexCAN is receiving a message" eventfld.long 0x00 2. "BOFFINT,Bus Off Interrupt" "0: No such occurrence,1: FlexCAN module entered Bus Off state" newline eventfld.long 0x00 1. "ERRINT,Error Interrupt" "0: No such occurrence,1: Indicates setting of any error bit in the.." eventfld.long 0x00 0. "WAKINT,Wake-Up Interrupt" "0: No such occurrence,1: Indicates a recessive to dominant transition.." group.long 0x24++0x03 line.long 0x00 "IMASK2,Interrupt Masks 2 Register" hexmask.long 0x00 0.--31. 1. "BUF63TO32M,Buffer MBi Mask" group.long 0x28++0x03 line.long 0x00 "IMASK1,Interrupt Masks 1 Register" hexmask.long 0x00 0.--31. 1. "BUF31TO0M,Buffer MBi Mask" group.long 0x2C++0x03 line.long 0x00 "IFLAG2,Interrupt Flags 2 Register" hexmask.long 0x00 0.--31. 1. "BUF63TO32I,Buffer MBi Interrupt" group.long 0x30++0x03 line.long 0x00 "IFLAG1,Interrupt Flags 1 Register" hexmask.long.tbyte 0x00 8.--31. 1. "BUF31TO8I,Buffer MBi Interrupt" eventfld.long 0x00 7. "BUF7I,Buffer MB7 Interrupt Or Legacy Rx FIFO Overflow" "0: No occurrence of MB7 completing..,1: MB7 completed transmission/reception when.." newline eventfld.long 0x00 6. "BUF6I,Buffer MB6 Interrupt Or Legacy Rx FIFO Warning" "0: No occurrence of MB6 completing..,1: MB6 completed transmission/reception when.." eventfld.long 0x00 5. "BUF5I,Buffer MB5 Interrupt Or Frames available in Legacy Rx FIFO" "0: No occurrence of MB5 completing..,1: MB5 completed transmission/reception when.." newline eventfld.long 0x00 1.--4. "BUF4TO1I,Buffer MBi Interrupt Or Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" eventfld.long 0x00 0. "BUF0I,Buffer MB0 Interrupt Or Clear Legacy FIFO bit" "0: The corresponding buffer has no occurrence of..,1: The corresponding buffer has successfully.." group.long 0x34++0x03 line.long 0x00 "CTRL2,Control 2 Register" bitfld.long 0x00 31. "ERRMSK_FAST,Error Interrupt Mask for errors detected in the data phase of fast CAN FD frames" "0: ERRINT_FAST error interrupt disabled,1: ERRINT_FAST error interrupt enabled" bitfld.long 0x00 30. "BOFFDONEMSK,Bus Off Done Interrupt Mask" "0: Bus off done interrupt disabled,1: Bus off done interrupt enabled" newline bitfld.long 0x00 29. "ECRWRE,Error-correction Configuration Register Write Enable" "0: Disable update,1: Enable update" bitfld.long 0x00 28. "WRMFRZ,Write-Access To Memory In Freeze Mode" "0: Maintain the write access restrictions,1: Enable unrestricted write access to FlexCAN.." newline bitfld.long 0x00 24.--27. "RFFN,Number Of Legacy Rx FIFO Filters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 19.--23. "TASD,Tx Arbitration Start Delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 18. "MRP,Mailboxes Reception Priority" "0: Matching starts from Legacy Rx FIFO or..,1: Matching starts from mailboxes and continues.." bitfld.long 0x00 17. "RRS,Remote Request Storing" "0: remote_response_frame_not_generated,1: remote_response_frame_generated" newline bitfld.long 0x00 16. "EACEN,Entire Frame Arbitration Field Comparison Enable For Rx Mailboxes" "0: Rx mailbox filter's IDE bit is always..,1: Enables the comparison of both Rx mailbox.." bitfld.long 0x00 15. "TIMER_SRC,Timer Source" "0: The free running timer is clocked by the CAN..,1: The free running timer is clocked by an.." newline bitfld.long 0x00 14. "PREXCEN,Protocol Exception Enable" "0: Protocol exception is disabled,1: Protocol exception is enabled" bitfld.long 0x00 13. "BTE,Bit Timing Expansion enable" "0: CAN Bit timing expansion is disabled,1: CAN bit timing expansion is enabled" newline bitfld.long 0x00 12. "ISOCANFDEN,ISO CAN FD Enable" "0: FlexCAN operates using the non-ISO CAN FD..,1: FlexCAN operates using the ISO CAN FD.." bitfld.long 0x00 11. "EDFLTDIS,Edge Filter Disable" "0: Edge filter is enabled,1: Edge filter is disabled" newline bitfld.long 0x00 8.--9. "MBTSBASE,Message Buffer Time Stamp Base" "0: Message buffer time stamp base is TIMER,1: Message buffer time stamp base is lower 16..,2: Message buffer time stamp base is upper 16..,?..." bitfld.long 0x00 6.--7. "TSTAMPCAP,Time Stamp Capture Point" "0: The high resolution time stamp capture is..,1: The high resolution time stamp is captured in..,2: The high resolution time stamp is captured in..,3: The high resolution time stamp is captured in.." rgroup.long 0x38++0x03 line.long 0x00 "ESR2,Error and Status 2 Register" hexmask.long.byte 0x00 16.--22. 1. "LPTM,Lowest Priority Tx Mailbox" bitfld.long 0x00 14. "VPS,Valid Priority Status" "0: Contents of IMB and LPTM are invalid,1: Contents of IMB and LPTM are valid" newline bitfld.long 0x00 13. "IMB,Inactive Mailbox" "0: If ESR2[VPS] is asserted the ESR2[LPTM] is..,1: If ESR2[VPS] is asserted there is at least.." rgroup.long 0x44++0x03 line.long 0x00 "CRCR,CRC Register" hexmask.long.byte 0x00 16.--22. 1. "MBCRC,CRC Mailbox" hexmask.long.word 0x00 0.--14. 1. "TXCRC,Transmitted CRC value" group.long 0x48++0x03 line.long 0x00 "RXFGMASK,Legacy Rx FIFO Global Mask Register" hexmask.long 0x00 0.--31. 1. "FGM,Legacy Rx FIFO Global Mask Bits" rgroup.long 0x4C++0x03 line.long 0x00 "RXFIR,Legacy Rx FIFO Information Register" hexmask.long.word 0x00 0.--8. 1. "IDHIT,Identifier Acceptance Filter Hit Indicator" group.long 0x50++0x03 line.long 0x00 "CBT,CAN Bit Timing Register" bitfld.long 0x00 31. "BTF,Bit Timing Format Enable" "0: Extended bit time definitions disabled,1: Extended bit time definitions enabled" hexmask.long.word 0x00 21.--30. 1. "EPRESDIV,Extended Prescaler Division Factor" newline bitfld.long 0x00 16.--20. "ERJW,Extended Resync Jump Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10.--15. "EPROPSEG,Extended Propagation Segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 5.--9. "EPSEG1,Extended Phase Segment 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. "EPSEG2,Extended Phase Segment 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x58++0x03 line.long 0x00 "DBG1,Debug 1 Register" hexmask.long.word 0x00 16.--25. 1. "CBN,CAN Bit Number" hexmask.long.byte 0x00 0.--6. 1. "CFSM,CAN Finite State Machine" rgroup.long 0x5C++0x03 line.long 0x00 "DBG2,Debug 2 Register" bitfld.long 0x00 15. "APP,Arbitration Process in Progress" "0: No arbitration process ongoing,1: Arbitration process is in progress" hexmask.long.byte 0x00 8.--14. 1. "TAP,Tx Arbitration Pointer" newline bitfld.long 0x00 7. "MPP,Matching Process in Progress" "0: No matching process ongoing,1: Matching process is in progress" hexmask.long.byte 0x00 0.--6. 1. "RMP,Rx Matching Pointer" group.long 0x6C++0x03 line.long 0x00 "IMASK3,Interrupt Masks 3 Register" hexmask.long 0x00 0.--31. 1. "BUF95TO64M,Buffer MBi Mask" group.long 0x74++0x03 line.long 0x00 "IFLAG3,Interrupt Flags 3 Register" hexmask.long 0x00 0.--31. 1. "BUF95TO64,Buffer MBi Interrupt" group.long 0x80++0x03 line.long 0x00 "CS0,Message Buffer 0 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x80++0x03 line.long 0x00 "MB0_16B_CS,Message Buffer 0 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x80++0x03 line.long 0x00 "MB0_32B_CS,Message Buffer 0 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x80++0x03 line.long 0x00 "MB0_64B_CS,Message Buffer 0 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x80++0x03 line.long 0x00 "MB0_8B_CS,Message Buffer 0 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x84++0x03 line.long 0x00 "ID0,Message Buffer 0 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x84++0x03 line.long 0x00 "MB0_16B_ID,Message Buffer 0 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x84++0x03 line.long 0x00 "MB0_32B_ID,Message Buffer 0 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x84++0x03 line.long 0x00 "MB0_64B_ID,Message Buffer 0 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x84++0x03 line.long 0x00 "MB0_8B_ID,Message Buffer 0 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x88++0x03 line.long 0x00 "MB0_16B_WORD0,Message Buffer 0 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x88++0x03 line.long 0x00 "MB0_32B_WORD0,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x88++0x03 line.long 0x00 "MB0_64B_WORD0,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x88++0x03 line.long 0x00 "MB0_8B_WORD0,Message Buffer 0 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x88++0x03 line.long 0x00 "WORD00,Message Buffer 0 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x8C++0x03 line.long 0x00 "MB0_16B_WORD1,Message Buffer 0 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x8C++0x03 line.long 0x00 "MB0_32B_WORD1,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x8C++0x03 line.long 0x00 "MB0_64B_WORD1,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x8C++0x03 line.long 0x00 "MB0_8B_WORD1,Message Buffer 0 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x8C++0x03 line.long 0x00 "WORD10,Message Buffer 0 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x90++0x03 line.long 0x00 "CS1,Message Buffer 1 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x90++0x03 line.long 0x00 "MB0_16B_WORD2,Message Buffer 0 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x90++0x03 line.long 0x00 "MB0_32B_WORD2,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x90++0x03 line.long 0x00 "MB0_64B_WORD2,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x90++0x03 line.long 0x00 "MB1_8B_CS,Message Buffer 1 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x94++0x03 line.long 0x00 "ID1,Message Buffer 1 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x94++0x03 line.long 0x00 "MB0_16B_WORD3,Message Buffer 0 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x94++0x03 line.long 0x00 "MB0_32B_WORD3,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x94++0x03 line.long 0x00 "MB0_64B_WORD3,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x94++0x03 line.long 0x00 "MB1_8B_ID,Message Buffer 1 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x98++0x03 line.long 0x00 "MB0_32B_WORD4,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x98++0x03 line.long 0x00 "MB0_64B_WORD4,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x98++0x03 line.long 0x00 "MB1_16B_CS,Message Buffer 1 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x98++0x03 line.long 0x00 "MB1_8B_WORD0,Message Buffer 1 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x98++0x03 line.long 0x00 "WORD01,Message Buffer 1 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x9C++0x03 line.long 0x00 "MB0_32B_WORD5,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x9C++0x03 line.long 0x00 "MB0_64B_WORD5,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x9C++0x03 line.long 0x00 "MB1_16B_ID,Message Buffer 1 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x9C++0x03 line.long 0x00 "MB1_8B_WORD1,Message Buffer 1 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x9C++0x03 line.long 0x00 "WORD11,Message Buffer 1 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xA0++0x03 line.long 0x00 "CS2,Message Buffer 2 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xA0++0x03 line.long 0x00 "MB0_32B_WORD6,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0xA0++0x03 line.long 0x00 "MB0_64B_WORD6,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0xA0++0x03 line.long 0x00 "MB1_16B_WORD0,Message Buffer 1 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xA0++0x03 line.long 0x00 "MB2_8B_CS,Message Buffer 2 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xA4++0x03 line.long 0x00 "ID2,Message Buffer 2 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xA4++0x03 line.long 0x00 "MB0_32B_WORD7,Message Buffer 0 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0xA4++0x03 line.long 0x00 "MB0_64B_WORD7,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0xA4++0x03 line.long 0x00 "MB1_16B_WORD1,Message Buffer 1 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xA4++0x03 line.long 0x00 "MB2_8B_ID,Message Buffer 2 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xA8++0x03 line.long 0x00 "MB0_64B_WORD8,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0xA8++0x03 line.long 0x00 "MB1_16B_WORD2,Message Buffer 1 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xA8++0x03 line.long 0x00 "MB1_32B_CS,Message Buffer 1 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xA8++0x03 line.long 0x00 "MB2_8B_WORD0,Message Buffer 2 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xA8++0x03 line.long 0x00 "WORD02,Message Buffer 2 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xAC++0x03 line.long 0x00 "MB0_64B_WORD9,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0xAC++0x03 line.long 0x00 "MB1_16B_WORD3,Message Buffer 1 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xAC++0x03 line.long 0x00 "MB1_32B_ID,Message Buffer 1 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xAC++0x03 line.long 0x00 "MB2_8B_WORD1,Message Buffer 2 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xAC++0x03 line.long 0x00 "WORD12,Message Buffer 2 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xB0++0x03 line.long 0x00 "CS3,Message Buffer 3 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xB0++0x03 line.long 0x00 "MB0_64B_WORD10,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0xB0++0x03 line.long 0x00 "MB1_32B_WORD0,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xB0++0x03 line.long 0x00 "MB2_16B_CS,Message Buffer 2 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xB0++0x03 line.long 0x00 "MB3_8B_CS,Message Buffer 3 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xB4++0x03 line.long 0x00 "ID3,Message Buffer 3 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xB4++0x03 line.long 0x00 "MB0_64B_WORD11,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0xB4++0x03 line.long 0x00 "MB1_32B_WORD1,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xB4++0x03 line.long 0x00 "MB2_16B_ID,Message Buffer 2 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xB4++0x03 line.long 0x00 "MB3_8B_ID,Message Buffer 3 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xB8++0x03 line.long 0x00 "MB0_64B_WORD12,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0xB8++0x03 line.long 0x00 "MB1_32B_WORD2,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xB8++0x03 line.long 0x00 "MB2_16B_WORD0,Message Buffer 2 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xB8++0x03 line.long 0x00 "MB3_8B_WORD0,Message Buffer 3 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xB8++0x03 line.long 0x00 "WORD03,Message Buffer 3 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xBC++0x03 line.long 0x00 "MB0_64B_WORD13,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0xBC++0x03 line.long 0x00 "MB1_32B_WORD3,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xBC++0x03 line.long 0x00 "MB2_16B_WORD1,Message Buffer 2 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xBC++0x03 line.long 0x00 "MB3_8B_WORD1,Message Buffer 3 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xBC++0x03 line.long 0x00 "WORD13,Message Buffer 3 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xC0++0x03 line.long 0x00 "CS4,Message Buffer 4 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xC0++0x03 line.long 0x00 "MB0_64B_WORD14,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0xC0++0x03 line.long 0x00 "MB1_32B_WORD4,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0xC0++0x03 line.long 0x00 "MB2_16B_WORD2,Message Buffer 2 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xC0++0x03 line.long 0x00 "MB4_8B_CS,Message Buffer 4 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xC4++0x03 line.long 0x00 "ID4,Message Buffer 4 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xC4++0x03 line.long 0x00 "MB0_64B_WORD15,Message Buffer 0 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0xC4++0x03 line.long 0x00 "MB1_32B_WORD5,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0xC4++0x03 line.long 0x00 "MB2_16B_WORD3,Message Buffer 2 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xC4++0x03 line.long 0x00 "MB4_8B_ID,Message Buffer 4 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xC8++0x03 line.long 0x00 "MB1_32B_WORD6,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0xC8++0x03 line.long 0x00 "MB1_64B_CS,Message Buffer 1 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xC8++0x03 line.long 0x00 "MB3_16B_CS,Message Buffer 3 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xC8++0x03 line.long 0x00 "MB4_8B_WORD0,Message Buffer 4 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xC8++0x03 line.long 0x00 "WORD04,Message Buffer 4 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xCC++0x03 line.long 0x00 "MB1_32B_WORD7,Message Buffer 1 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0xCC++0x03 line.long 0x00 "MB1_64B_ID,Message Buffer 1 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xCC++0x03 line.long 0x00 "MB3_16B_ID,Message Buffer 3 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xCC++0x03 line.long 0x00 "MB4_8B_WORD1,Message Buffer 4 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xCC++0x03 line.long 0x00 "WORD14,Message Buffer 4 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xD0++0x03 line.long 0x00 "CS5,Message Buffer 5 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xD0++0x03 line.long 0x00 "MB1_64B_WORD0,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xD0++0x03 line.long 0x00 "MB2_32B_CS,Message Buffer 2 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xD0++0x03 line.long 0x00 "MB3_16B_WORD0,Message Buffer 3 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xD0++0x03 line.long 0x00 "MB5_8B_CS,Message Buffer 5 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xD4++0x03 line.long 0x00 "ID5,Message Buffer 5 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xD4++0x03 line.long 0x00 "MB1_64B_WORD1,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xD4++0x03 line.long 0x00 "MB2_32B_ID,Message Buffer 2 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xD4++0x03 line.long 0x00 "MB3_16B_WORD1,Message Buffer 3 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xD4++0x03 line.long 0x00 "MB5_8B_ID,Message Buffer 5 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xD8++0x03 line.long 0x00 "MB1_64B_WORD2,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xD8++0x03 line.long 0x00 "MB2_32B_WORD0,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xD8++0x03 line.long 0x00 "MB3_16B_WORD2,Message Buffer 3 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xD8++0x03 line.long 0x00 "MB5_8B_WORD0,Message Buffer 5 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xD8++0x03 line.long 0x00 "WORD05,Message Buffer 5 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xDC++0x03 line.long 0x00 "MB1_64B_WORD3,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xDC++0x03 line.long 0x00 "MB2_32B_WORD1,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xDC++0x03 line.long 0x00 "MB3_16B_WORD3,Message Buffer 3 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xDC++0x03 line.long 0x00 "MB5_8B_WORD1,Message Buffer 5 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xDC++0x03 line.long 0x00 "WORD15,Message Buffer 5 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xE0++0x03 line.long 0x00 "CS6,Message Buffer 6 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xE0++0x03 line.long 0x00 "MB1_64B_WORD4,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0xE0++0x03 line.long 0x00 "MB2_32B_WORD2,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xE0++0x03 line.long 0x00 "MB4_16B_CS,Message Buffer 4 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xE0++0x03 line.long 0x00 "MB6_8B_CS,Message Buffer 6 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xE4++0x03 line.long 0x00 "ID6,Message Buffer 6 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xE4++0x03 line.long 0x00 "MB1_64B_WORD5,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0xE4++0x03 line.long 0x00 "MB2_32B_WORD3,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xE4++0x03 line.long 0x00 "MB4_16B_ID,Message Buffer 4 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xE4++0x03 line.long 0x00 "MB6_8B_ID,Message Buffer 6 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xE8++0x03 line.long 0x00 "MB1_64B_WORD6,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0xE8++0x03 line.long 0x00 "MB2_32B_WORD4,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0xE8++0x03 line.long 0x00 "MB4_16B_WORD0,Message Buffer 4 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xE8++0x03 line.long 0x00 "MB6_8B_WORD0,Message Buffer 6 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xE8++0x03 line.long 0x00 "WORD06,Message Buffer 6 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xEC++0x03 line.long 0x00 "MB1_64B_WORD7,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0xEC++0x03 line.long 0x00 "MB2_32B_WORD5,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0xEC++0x03 line.long 0x00 "MB4_16B_WORD1,Message Buffer 4 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xEC++0x03 line.long 0x00 "MB6_8B_WORD1,Message Buffer 6 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xEC++0x03 line.long 0x00 "WORD16,Message Buffer 6 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xF0++0x03 line.long 0x00 "CS7,Message Buffer 7 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xF0++0x03 line.long 0x00 "MB1_64B_WORD8,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0xF0++0x03 line.long 0x00 "MB2_32B_WORD6,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0xF0++0x03 line.long 0x00 "MB4_16B_WORD2,Message Buffer 4 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0xF0++0x03 line.long 0x00 "MB7_8B_CS,Message Buffer 7 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xF4++0x03 line.long 0x00 "ID7,Message Buffer 7 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xF4++0x03 line.long 0x00 "MB1_64B_WORD9,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0xF4++0x03 line.long 0x00 "MB2_32B_WORD7,Message Buffer 2 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0xF4++0x03 line.long 0x00 "MB4_16B_WORD3,Message Buffer 4 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0xF4++0x03 line.long 0x00 "MB7_8B_ID,Message Buffer 7 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xF8++0x03 line.long 0x00 "MB1_64B_WORD10,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0xF8++0x03 line.long 0x00 "MB3_32B_CS,Message Buffer 3 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xF8++0x03 line.long 0x00 "MB5_16B_CS,Message Buffer 5 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0xF8++0x03 line.long 0x00 "MB7_8B_WORD0,Message Buffer 7 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xF8++0x03 line.long 0x00 "WORD07,Message Buffer 7 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0xFC++0x03 line.long 0x00 "MB1_64B_WORD11,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0xFC++0x03 line.long 0x00 "MB3_32B_ID,Message Buffer 3 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xFC++0x03 line.long 0x00 "MB5_16B_ID,Message Buffer 5 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0xFC++0x03 line.long 0x00 "MB7_8B_WORD1,Message Buffer 7 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0xFC++0x03 line.long 0x00 "WORD17,Message Buffer 7 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x100++0x03 line.long 0x00 "CS8,Message Buffer 8 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x100++0x03 line.long 0x00 "MB1_64B_WORD12,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x100++0x03 line.long 0x00 "MB3_32B_WORD0,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x100++0x03 line.long 0x00 "MB5_16B_WORD0,Message Buffer 5 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x100++0x03 line.long 0x00 "MB8_8B_CS,Message Buffer 8 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x104++0x03 line.long 0x00 "ID8,Message Buffer 8 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x104++0x03 line.long 0x00 "MB1_64B_WORD13,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x104++0x03 line.long 0x00 "MB3_32B_WORD1,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x104++0x03 line.long 0x00 "MB5_16B_WORD1,Message Buffer 5 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x104++0x03 line.long 0x00 "MB8_8B_ID,Message Buffer 8 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x108++0x03 line.long 0x00 "MB1_64B_WORD14,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x108++0x03 line.long 0x00 "MB3_32B_WORD2,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x108++0x03 line.long 0x00 "MB5_16B_WORD2,Message Buffer 5 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x108++0x03 line.long 0x00 "MB8_8B_WORD0,Message Buffer 8 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x108++0x03 line.long 0x00 "WORD08,Message Buffer 8 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x10C++0x03 line.long 0x00 "MB1_64B_WORD15,Message Buffer 1 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x10C++0x03 line.long 0x00 "MB3_32B_WORD3,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x10C++0x03 line.long 0x00 "MB5_16B_WORD3,Message Buffer 5 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x10C++0x03 line.long 0x00 "MB8_8B_WORD1,Message Buffer 8 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x10C++0x03 line.long 0x00 "WORD18,Message Buffer 8 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x110++0x03 line.long 0x00 "CS9,Message Buffer 9 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x110++0x03 line.long 0x00 "MB2_64B_CS,Message Buffer 2 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x110++0x03 line.long 0x00 "MB3_32B_WORD4,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x110++0x03 line.long 0x00 "MB6_16B_CS,Message Buffer 6 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x110++0x03 line.long 0x00 "MB9_8B_CS,Message Buffer 9 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x114++0x03 line.long 0x00 "ID9,Message Buffer 9 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x114++0x03 line.long 0x00 "MB2_64B_ID,Message Buffer 2 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x114++0x03 line.long 0x00 "MB3_32B_WORD5,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x114++0x03 line.long 0x00 "MB6_16B_ID,Message Buffer 6 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x114++0x03 line.long 0x00 "MB9_8B_ID,Message Buffer 9 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x118++0x03 line.long 0x00 "MB2_64B_WORD0,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x118++0x03 line.long 0x00 "MB3_32B_WORD6,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x118++0x03 line.long 0x00 "MB6_16B_WORD0,Message Buffer 6 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x118++0x03 line.long 0x00 "MB9_8B_WORD0,Message Buffer 9 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x118++0x03 line.long 0x00 "WORD09,Message Buffer 9 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x11C++0x03 line.long 0x00 "MB2_64B_WORD1,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x11C++0x03 line.long 0x00 "MB3_32B_WORD7,Message Buffer 3 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x11C++0x03 line.long 0x00 "MB6_16B_WORD1,Message Buffer 6 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x11C++0x03 line.long 0x00 "MB9_8B_WORD1,Message Buffer 9 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x11C++0x03 line.long 0x00 "WORD19,Message Buffer 9 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x120++0x03 line.long 0x00 "CS10,Message Buffer 10 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x120++0x03 line.long 0x00 "MB10_8B_CS,Message Buffer 10 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x120++0x03 line.long 0x00 "MB2_64B_WORD2,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x120++0x03 line.long 0x00 "MB4_32B_CS,Message Buffer 4 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x120++0x03 line.long 0x00 "MB6_16B_WORD2,Message Buffer 6 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x124++0x03 line.long 0x00 "ID10,Message Buffer 10 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x124++0x03 line.long 0x00 "MB10_8B_ID,Message Buffer 10 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x124++0x03 line.long 0x00 "MB2_64B_WORD3,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x124++0x03 line.long 0x00 "MB4_32B_ID,Message Buffer 4 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x124++0x03 line.long 0x00 "MB6_16B_WORD3,Message Buffer 6 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x128++0x03 line.long 0x00 "MB10_8B_WORD0,Message Buffer 10 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x128++0x03 line.long 0x00 "MB2_64B_WORD4,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x128++0x03 line.long 0x00 "MB4_32B_WORD0,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x128++0x03 line.long 0x00 "MB7_16B_CS,Message Buffer 7 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x128++0x03 line.long 0x00 "WORD010,Message Buffer 10 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x12C++0x03 line.long 0x00 "MB10_8B_WORD1,Message Buffer 10 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x12C++0x03 line.long 0x00 "MB2_64B_WORD5,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x12C++0x03 line.long 0x00 "MB4_32B_WORD1,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x12C++0x03 line.long 0x00 "MB7_16B_ID,Message Buffer 7 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x12C++0x03 line.long 0x00 "WORD110,Message Buffer 10 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x130++0x03 line.long 0x00 "CS11,Message Buffer 11 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x130++0x03 line.long 0x00 "MB11_8B_CS,Message Buffer 11 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x130++0x03 line.long 0x00 "MB2_64B_WORD6,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x130++0x03 line.long 0x00 "MB4_32B_WORD2,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x130++0x03 line.long 0x00 "MB7_16B_WORD0,Message Buffer 7 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x134++0x03 line.long 0x00 "ID11,Message Buffer 11 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x134++0x03 line.long 0x00 "MB11_8B_ID,Message Buffer 11 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x134++0x03 line.long 0x00 "MB2_64B_WORD7,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x134++0x03 line.long 0x00 "MB4_32B_WORD3,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x134++0x03 line.long 0x00 "MB7_16B_WORD1,Message Buffer 7 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x138++0x03 line.long 0x00 "MB11_8B_WORD0,Message Buffer 11 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x138++0x03 line.long 0x00 "MB2_64B_WORD8,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x138++0x03 line.long 0x00 "MB4_32B_WORD4,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x138++0x03 line.long 0x00 "MB7_16B_WORD2,Message Buffer 7 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x138++0x03 line.long 0x00 "WORD011,Message Buffer 11 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x13C++0x03 line.long 0x00 "MB11_8B_WORD1,Message Buffer 11 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x13C++0x03 line.long 0x00 "MB2_64B_WORD9,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x13C++0x03 line.long 0x00 "MB4_32B_WORD5,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x13C++0x03 line.long 0x00 "MB7_16B_WORD3,Message Buffer 7 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x13C++0x03 line.long 0x00 "WORD111,Message Buffer 11 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x140++0x03 line.long 0x00 "CS12,Message Buffer 12 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x140++0x03 line.long 0x00 "MB12_8B_CS,Message Buffer 12 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x140++0x03 line.long 0x00 "MB2_64B_WORD10,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x140++0x03 line.long 0x00 "MB4_32B_WORD6,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x140++0x03 line.long 0x00 "MB8_16B_CS,Message Buffer 8 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x144++0x03 line.long 0x00 "ID12,Message Buffer 12 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x144++0x03 line.long 0x00 "MB12_8B_ID,Message Buffer 12 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x144++0x03 line.long 0x00 "MB2_64B_WORD11,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x144++0x03 line.long 0x00 "MB4_32B_WORD7,Message Buffer 4 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x144++0x03 line.long 0x00 "MB8_16B_ID,Message Buffer 8 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x148++0x03 line.long 0x00 "MB12_8B_WORD0,Message Buffer 12 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x148++0x03 line.long 0x00 "MB2_64B_WORD12,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x148++0x03 line.long 0x00 "MB5_32B_CS,Message Buffer 5 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x148++0x03 line.long 0x00 "MB8_16B_WORD0,Message Buffer 8 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x148++0x03 line.long 0x00 "WORD012,Message Buffer 12 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x14C++0x03 line.long 0x00 "MB12_8B_WORD1,Message Buffer 12 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x14C++0x03 line.long 0x00 "MB2_64B_WORD13,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x14C++0x03 line.long 0x00 "MB5_32B_ID,Message Buffer 5 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x14C++0x03 line.long 0x00 "MB8_16B_WORD1,Message Buffer 8 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x14C++0x03 line.long 0x00 "WORD112,Message Buffer 12 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x150++0x03 line.long 0x00 "CS13,Message Buffer 13 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x150++0x03 line.long 0x00 "MB13_8B_CS,Message Buffer 13 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x150++0x03 line.long 0x00 "MB2_64B_WORD14,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x150++0x03 line.long 0x00 "MB5_32B_WORD0,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x150++0x03 line.long 0x00 "MB8_16B_WORD2,Message Buffer 8 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x154++0x03 line.long 0x00 "ID13,Message Buffer 13 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x154++0x03 line.long 0x00 "MB13_8B_ID,Message Buffer 13 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x154++0x03 line.long 0x00 "MB2_64B_WORD15,Message Buffer 2 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x154++0x03 line.long 0x00 "MB5_32B_WORD1,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x154++0x03 line.long 0x00 "MB8_16B_WORD3,Message Buffer 8 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x158++0x03 line.long 0x00 "MB13_8B_WORD0,Message Buffer 13 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x158++0x03 line.long 0x00 "MB3_64B_CS,Message Buffer 3 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x158++0x03 line.long 0x00 "MB5_32B_WORD2,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x158++0x03 line.long 0x00 "MB9_16B_CS,Message Buffer 9 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x158++0x03 line.long 0x00 "WORD013,Message Buffer 13 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x15C++0x03 line.long 0x00 "MB13_8B_WORD1,Message Buffer 13 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x15C++0x03 line.long 0x00 "MB3_64B_ID,Message Buffer 3 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x15C++0x03 line.long 0x00 "MB5_32B_WORD3,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x15C++0x03 line.long 0x00 "MB9_16B_ID,Message Buffer 9 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x15C++0x03 line.long 0x00 "WORD113,Message Buffer 13 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x160++0x03 line.long 0x00 "CS14,Message Buffer 14 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x160++0x03 line.long 0x00 "MB14_8B_CS,Message Buffer 14 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x160++0x03 line.long 0x00 "MB3_64B_WORD0,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x160++0x03 line.long 0x00 "MB5_32B_WORD4,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x160++0x03 line.long 0x00 "MB9_16B_WORD0,Message Buffer 9 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x164++0x03 line.long 0x00 "ID14,Message Buffer 14 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x164++0x03 line.long 0x00 "MB14_8B_ID,Message Buffer 14 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x164++0x03 line.long 0x00 "MB3_64B_WORD1,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x164++0x03 line.long 0x00 "MB5_32B_WORD5,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x164++0x03 line.long 0x00 "MB9_16B_WORD1,Message Buffer 9 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x168++0x03 line.long 0x00 "MB14_8B_WORD0,Message Buffer 14 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x168++0x03 line.long 0x00 "MB3_64B_WORD2,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x168++0x03 line.long 0x00 "MB5_32B_WORD6,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x168++0x03 line.long 0x00 "MB9_16B_WORD2,Message Buffer 9 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x168++0x03 line.long 0x00 "WORD014,Message Buffer 14 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x16C++0x03 line.long 0x00 "MB14_8B_WORD1,Message Buffer 14 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x16C++0x03 line.long 0x00 "MB3_64B_WORD3,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x16C++0x03 line.long 0x00 "MB5_32B_WORD7,Message Buffer 5 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x16C++0x03 line.long 0x00 "MB9_16B_WORD3,Message Buffer 9 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x16C++0x03 line.long 0x00 "WORD114,Message Buffer 14 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x170++0x03 line.long 0x00 "CS15,Message Buffer 15 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x170++0x03 line.long 0x00 "MB10_16B_CS,Message Buffer 10 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x170++0x03 line.long 0x00 "MB15_8B_CS,Message Buffer 15 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x170++0x03 line.long 0x00 "MB3_64B_WORD4,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x170++0x03 line.long 0x00 "MB6_32B_CS,Message Buffer 6 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x174++0x03 line.long 0x00 "ID15,Message Buffer 15 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x174++0x03 line.long 0x00 "MB10_16B_ID,Message Buffer 10 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x174++0x03 line.long 0x00 "MB15_8B_ID,Message Buffer 15 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x174++0x03 line.long 0x00 "MB3_64B_WORD5,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x174++0x03 line.long 0x00 "MB6_32B_ID,Message Buffer 6 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x178++0x03 line.long 0x00 "MB10_16B_WORD0,Message Buffer 10 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x178++0x03 line.long 0x00 "MB15_8B_WORD0,Message Buffer 15 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x178++0x03 line.long 0x00 "MB3_64B_WORD6,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x178++0x03 line.long 0x00 "MB6_32B_WORD0,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x178++0x03 line.long 0x00 "WORD015,Message Buffer 15 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x17C++0x03 line.long 0x00 "MB10_16B_WORD1,Message Buffer 10 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x17C++0x03 line.long 0x00 "MB15_8B_WORD1,Message Buffer 15 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x17C++0x03 line.long 0x00 "MB3_64B_WORD7,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x17C++0x03 line.long 0x00 "MB6_32B_WORD1,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x17C++0x03 line.long 0x00 "WORD115,Message Buffer 15 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x180++0x03 line.long 0x00 "CS16,Message Buffer 16 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x180++0x03 line.long 0x00 "MB10_16B_WORD2,Message Buffer 10 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x180++0x03 line.long 0x00 "MB16_8B_CS,Message Buffer 16 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x180++0x03 line.long 0x00 "MB3_64B_WORD8,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x180++0x03 line.long 0x00 "MB6_32B_WORD2,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x184++0x03 line.long 0x00 "ID16,Message Buffer 16 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x184++0x03 line.long 0x00 "MB10_16B_WORD3,Message Buffer 10 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x184++0x03 line.long 0x00 "MB16_8B_ID,Message Buffer 16 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x184++0x03 line.long 0x00 "MB3_64B_WORD9,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x184++0x03 line.long 0x00 "MB6_32B_WORD3,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x188++0x03 line.long 0x00 "MB11_16B_CS,Message Buffer 11 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x188++0x03 line.long 0x00 "MB16_8B_WORD0,Message Buffer 16 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x188++0x03 line.long 0x00 "MB3_64B_WORD10,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x188++0x03 line.long 0x00 "MB6_32B_WORD4,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x188++0x03 line.long 0x00 "WORD016,Message Buffer 16 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x18C++0x03 line.long 0x00 "MB11_16B_ID,Message Buffer 11 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x18C++0x03 line.long 0x00 "MB16_8B_WORD1,Message Buffer 16 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x18C++0x03 line.long 0x00 "MB3_64B_WORD11,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x18C++0x03 line.long 0x00 "MB6_32B_WORD5,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x18C++0x03 line.long 0x00 "WORD116,Message Buffer 16 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x190++0x03 line.long 0x00 "CS17,Message Buffer 17 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x190++0x03 line.long 0x00 "MB11_16B_WORD0,Message Buffer 11 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x190++0x03 line.long 0x00 "MB17_8B_CS,Message Buffer 17 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x190++0x03 line.long 0x00 "MB3_64B_WORD12,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x190++0x03 line.long 0x00 "MB6_32B_WORD6,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x194++0x03 line.long 0x00 "ID17,Message Buffer 17 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x194++0x03 line.long 0x00 "MB11_16B_WORD1,Message Buffer 11 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x194++0x03 line.long 0x00 "MB17_8B_ID,Message Buffer 17 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x194++0x03 line.long 0x00 "MB3_64B_WORD13,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x194++0x03 line.long 0x00 "MB6_32B_WORD7,Message Buffer 6 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x198++0x03 line.long 0x00 "MB11_16B_WORD2,Message Buffer 11 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x198++0x03 line.long 0x00 "MB17_8B_WORD0,Message Buffer 17 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x198++0x03 line.long 0x00 "MB3_64B_WORD14,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x198++0x03 line.long 0x00 "MB7_32B_CS,Message Buffer 7 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x198++0x03 line.long 0x00 "WORD017,Message Buffer 17 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x19C++0x03 line.long 0x00 "MB11_16B_WORD3,Message Buffer 11 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x19C++0x03 line.long 0x00 "MB17_8B_WORD1,Message Buffer 17 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x19C++0x03 line.long 0x00 "MB3_64B_WORD15,Message Buffer 3 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x19C++0x03 line.long 0x00 "MB7_32B_ID,Message Buffer 7 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x19C++0x03 line.long 0x00 "WORD117,Message Buffer 17 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1A0++0x03 line.long 0x00 "CS18,Message Buffer 18 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1A0++0x03 line.long 0x00 "MB12_16B_CS,Message Buffer 12 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1A0++0x03 line.long 0x00 "MB18_8B_CS,Message Buffer 18 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1A0++0x03 line.long 0x00 "MB4_64B_CS,Message Buffer 4 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1A0++0x03 line.long 0x00 "MB7_32B_WORD0,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1A4++0x03 line.long 0x00 "ID18,Message Buffer 18 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1A4++0x03 line.long 0x00 "MB12_16B_ID,Message Buffer 12 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1A4++0x03 line.long 0x00 "MB18_8B_ID,Message Buffer 18 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1A4++0x03 line.long 0x00 "MB4_64B_ID,Message Buffer 4 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1A4++0x03 line.long 0x00 "MB7_32B_WORD1,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1A8++0x03 line.long 0x00 "MB12_16B_WORD0,Message Buffer 12 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1A8++0x03 line.long 0x00 "MB18_8B_WORD0,Message Buffer 18 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1A8++0x03 line.long 0x00 "MB4_64B_WORD0,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1A8++0x03 line.long 0x00 "MB7_32B_WORD2,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1A8++0x03 line.long 0x00 "WORD018,Message Buffer 18 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1AC++0x03 line.long 0x00 "MB12_16B_WORD1,Message Buffer 12 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1AC++0x03 line.long 0x00 "MB18_8B_WORD1,Message Buffer 18 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1AC++0x03 line.long 0x00 "MB4_64B_WORD1,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1AC++0x03 line.long 0x00 "MB7_32B_WORD3,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1AC++0x03 line.long 0x00 "WORD118,Message Buffer 18 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1B0++0x03 line.long 0x00 "CS19,Message Buffer 19 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1B0++0x03 line.long 0x00 "MB12_16B_WORD2,Message Buffer 12 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1B0++0x03 line.long 0x00 "MB19_8B_CS,Message Buffer 19 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1B0++0x03 line.long 0x00 "MB4_64B_WORD2,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1B0++0x03 line.long 0x00 "MB7_32B_WORD4,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x1B4++0x03 line.long 0x00 "ID19,Message Buffer 19 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1B4++0x03 line.long 0x00 "MB12_16B_WORD3,Message Buffer 12 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1B4++0x03 line.long 0x00 "MB19_8B_ID,Message Buffer 19 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1B4++0x03 line.long 0x00 "MB4_64B_WORD3,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1B4++0x03 line.long 0x00 "MB7_32B_WORD5,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x1B8++0x03 line.long 0x00 "MB13_16B_CS,Message Buffer 13 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1B8++0x03 line.long 0x00 "MB19_8B_WORD0,Message Buffer 19 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1B8++0x03 line.long 0x00 "MB4_64B_WORD4,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x1B8++0x03 line.long 0x00 "MB7_32B_WORD6,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x1B8++0x03 line.long 0x00 "WORD019,Message Buffer 19 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1BC++0x03 line.long 0x00 "MB13_16B_ID,Message Buffer 13 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1BC++0x03 line.long 0x00 "MB19_8B_WORD1,Message Buffer 19 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1BC++0x03 line.long 0x00 "MB4_64B_WORD5,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x1BC++0x03 line.long 0x00 "MB7_32B_WORD7,Message Buffer 7 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x1BC++0x03 line.long 0x00 "WORD119,Message Buffer 19 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1C0++0x03 line.long 0x00 "CS20,Message Buffer 20 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1C0++0x03 line.long 0x00 "MB13_16B_WORD0,Message Buffer 13 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1C0++0x03 line.long 0x00 "MB20_8B_CS,Message Buffer 20 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1C0++0x03 line.long 0x00 "MB4_64B_WORD6,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x1C0++0x03 line.long 0x00 "MB8_32B_CS,Message Buffer 8 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1C4++0x03 line.long 0x00 "ID20,Message Buffer 20 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1C4++0x03 line.long 0x00 "MB13_16B_WORD1,Message Buffer 13 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1C4++0x03 line.long 0x00 "MB20_8B_ID,Message Buffer 20 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1C4++0x03 line.long 0x00 "MB4_64B_WORD7,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x1C4++0x03 line.long 0x00 "MB8_32B_ID,Message Buffer 8 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1C8++0x03 line.long 0x00 "MB13_16B_WORD2,Message Buffer 13 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1C8++0x03 line.long 0x00 "MB20_8B_WORD0,Message Buffer 20 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1C8++0x03 line.long 0x00 "MB4_64B_WORD8,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x1C8++0x03 line.long 0x00 "MB8_32B_WORD0,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1C8++0x03 line.long 0x00 "WORD020,Message Buffer 20 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1CC++0x03 line.long 0x00 "MB13_16B_WORD3,Message Buffer 13 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1CC++0x03 line.long 0x00 "MB20_8B_WORD1,Message Buffer 20 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1CC++0x03 line.long 0x00 "MB4_64B_WORD9,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x1CC++0x03 line.long 0x00 "MB8_32B_WORD1,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1CC++0x03 line.long 0x00 "WORD120,Message Buffer 20 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1D0++0x03 line.long 0x00 "CS21,Message Buffer 21 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1D0++0x03 line.long 0x00 "MB14_16B_CS,Message Buffer 14 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1D0++0x03 line.long 0x00 "MB21_8B_CS,Message Buffer 21 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1D0++0x03 line.long 0x00 "MB4_64B_WORD10,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x1D0++0x03 line.long 0x00 "MB8_32B_WORD2,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1D4++0x03 line.long 0x00 "ID21,Message Buffer 21 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1D4++0x03 line.long 0x00 "MB14_16B_ID,Message Buffer 14 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1D4++0x03 line.long 0x00 "MB21_8B_ID,Message Buffer 21 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1D4++0x03 line.long 0x00 "MB4_64B_WORD11,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x1D4++0x03 line.long 0x00 "MB8_32B_WORD3,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1D8++0x03 line.long 0x00 "MB14_16B_WORD0,Message Buffer 14 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1D8++0x03 line.long 0x00 "MB21_8B_WORD0,Message Buffer 21 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1D8++0x03 line.long 0x00 "MB4_64B_WORD12,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x1D8++0x03 line.long 0x00 "MB8_32B_WORD4,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x1D8++0x03 line.long 0x00 "WORD021,Message Buffer 21 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1DC++0x03 line.long 0x00 "MB14_16B_WORD1,Message Buffer 14 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1DC++0x03 line.long 0x00 "MB21_8B_WORD1,Message Buffer 21 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1DC++0x03 line.long 0x00 "MB4_64B_WORD13,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x1DC++0x03 line.long 0x00 "MB8_32B_WORD5,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x1DC++0x03 line.long 0x00 "WORD121,Message Buffer 21 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1E0++0x03 line.long 0x00 "CS22,Message Buffer 22 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1E0++0x03 line.long 0x00 "MB14_16B_WORD2,Message Buffer 14 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1E0++0x03 line.long 0x00 "MB22_8B_CS,Message Buffer 22 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1E0++0x03 line.long 0x00 "MB4_64B_WORD14,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x1E0++0x03 line.long 0x00 "MB8_32B_WORD6,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x1E4++0x03 line.long 0x00 "ID22,Message Buffer 22 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1E4++0x03 line.long 0x00 "MB14_16B_WORD3,Message Buffer 14 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1E4++0x03 line.long 0x00 "MB22_8B_ID,Message Buffer 22 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1E4++0x03 line.long 0x00 "MB4_64B_WORD15,Message Buffer 4 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x1E4++0x03 line.long 0x00 "MB8_32B_WORD7,Message Buffer 8 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x1E8++0x03 line.long 0x00 "MB15_16B_CS,Message Buffer 15 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1E8++0x03 line.long 0x00 "MB22_8B_WORD0,Message Buffer 22 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1E8++0x03 line.long 0x00 "MB5_64B_CS,Message Buffer 5 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1E8++0x03 line.long 0x00 "MB9_32B_CS,Message Buffer 9 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1E8++0x03 line.long 0x00 "WORD022,Message Buffer 22 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1EC++0x03 line.long 0x00 "MB15_16B_ID,Message Buffer 15 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1EC++0x03 line.long 0x00 "MB22_8B_WORD1,Message Buffer 22 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1EC++0x03 line.long 0x00 "MB5_64B_ID,Message Buffer 5 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1EC++0x03 line.long 0x00 "MB9_32B_ID,Message Buffer 9 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1EC++0x03 line.long 0x00 "WORD122,Message Buffer 22 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1F0++0x03 line.long 0x00 "CS23,Message Buffer 23 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1F0++0x03 line.long 0x00 "MB15_16B_WORD0,Message Buffer 15 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1F0++0x03 line.long 0x00 "MB23_8B_CS,Message Buffer 23 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x1F0++0x03 line.long 0x00 "MB5_64B_WORD0,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1F0++0x03 line.long 0x00 "MB9_32B_WORD0,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1F4++0x03 line.long 0x00 "ID23,Message Buffer 23 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1F4++0x03 line.long 0x00 "MB15_16B_WORD1,Message Buffer 15 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1F4++0x03 line.long 0x00 "MB23_8B_ID,Message Buffer 23 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x1F4++0x03 line.long 0x00 "MB5_64B_WORD1,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1F4++0x03 line.long 0x00 "MB9_32B_WORD1,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1F8++0x03 line.long 0x00 "MB15_16B_WORD2,Message Buffer 15 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1F8++0x03 line.long 0x00 "MB23_8B_WORD0,Message Buffer 23 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1F8++0x03 line.long 0x00 "MB5_64B_WORD2,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1F8++0x03 line.long 0x00 "MB9_32B_WORD2,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x1F8++0x03 line.long 0x00 "WORD023,Message Buffer 23 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x1FC++0x03 line.long 0x00 "MB15_16B_WORD3,Message Buffer 15 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1FC++0x03 line.long 0x00 "MB23_8B_WORD1,Message Buffer 23 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x1FC++0x03 line.long 0x00 "MB5_64B_WORD3,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1FC++0x03 line.long 0x00 "MB9_32B_WORD3,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x1FC++0x03 line.long 0x00 "WORD123,Message Buffer 23 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x200++0x03 line.long 0x00 "CS24,Message Buffer 24 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x200++0x03 line.long 0x00 "MB16_16B_CS,Message Buffer 16 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x200++0x03 line.long 0x00 "MB24_8B_CS,Message Buffer 24 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x200++0x03 line.long 0x00 "MB5_64B_WORD4,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x200++0x03 line.long 0x00 "MB9_32B_WORD4,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x204++0x03 line.long 0x00 "ID24,Message Buffer 24 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x204++0x03 line.long 0x00 "MB16_16B_ID,Message Buffer 16 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x204++0x03 line.long 0x00 "MB24_8B_ID,Message Buffer 24 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x204++0x03 line.long 0x00 "MB5_64B_WORD5,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x204++0x03 line.long 0x00 "MB9_32B_WORD5,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x208++0x03 line.long 0x00 "MB16_16B_WORD0,Message Buffer 16 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x208++0x03 line.long 0x00 "MB24_8B_WORD0,Message Buffer 24 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x208++0x03 line.long 0x00 "MB5_64B_WORD6,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x208++0x03 line.long 0x00 "MB9_32B_WORD6,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x208++0x03 line.long 0x00 "WORD024,Message Buffer 24 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x20C++0x03 line.long 0x00 "MB16_16B_WORD1,Message Buffer 16 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x20C++0x03 line.long 0x00 "MB24_8B_WORD1,Message Buffer 24 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x20C++0x03 line.long 0x00 "MB5_64B_WORD7,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x20C++0x03 line.long 0x00 "MB9_32B_WORD7,Message Buffer 9 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x20C++0x03 line.long 0x00 "WORD124,Message Buffer 24 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x210++0x03 line.long 0x00 "CS25,Message Buffer 25 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x210++0x03 line.long 0x00 "MB10_32B_CS,Message Buffer 10 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x210++0x03 line.long 0x00 "MB16_16B_WORD2,Message Buffer 16 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x210++0x03 line.long 0x00 "MB25_8B_CS,Message Buffer 25 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x210++0x03 line.long 0x00 "MB5_64B_WORD8,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x214++0x03 line.long 0x00 "ID25,Message Buffer 25 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x214++0x03 line.long 0x00 "MB10_32B_ID,Message Buffer 10 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x214++0x03 line.long 0x00 "MB16_16B_WORD3,Message Buffer 16 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x214++0x03 line.long 0x00 "MB25_8B_ID,Message Buffer 25 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x214++0x03 line.long 0x00 "MB5_64B_WORD9,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x218++0x03 line.long 0x00 "MB10_32B_WORD0,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x218++0x03 line.long 0x00 "MB17_16B_CS,Message Buffer 17 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x218++0x03 line.long 0x00 "MB25_8B_WORD0,Message Buffer 25 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x218++0x03 line.long 0x00 "MB5_64B_WORD10,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x218++0x03 line.long 0x00 "WORD025,Message Buffer 25 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x21C++0x03 line.long 0x00 "MB10_32B_WORD1,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x21C++0x03 line.long 0x00 "MB17_16B_ID,Message Buffer 17 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x21C++0x03 line.long 0x00 "MB25_8B_WORD1,Message Buffer 25 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x21C++0x03 line.long 0x00 "MB5_64B_WORD11,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x21C++0x03 line.long 0x00 "WORD125,Message Buffer 25 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x220++0x03 line.long 0x00 "CS26,Message Buffer 26 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x220++0x03 line.long 0x00 "MB10_32B_WORD2,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x220++0x03 line.long 0x00 "MB17_16B_WORD0,Message Buffer 17 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x220++0x03 line.long 0x00 "MB26_8B_CS,Message Buffer 26 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x220++0x03 line.long 0x00 "MB5_64B_WORD12,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x224++0x03 line.long 0x00 "ID26,Message Buffer 26 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x224++0x03 line.long 0x00 "MB10_32B_WORD3,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x224++0x03 line.long 0x00 "MB17_16B_WORD1,Message Buffer 17 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x224++0x03 line.long 0x00 "MB26_8B_ID,Message Buffer 26 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x224++0x03 line.long 0x00 "MB5_64B_WORD13,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x228++0x03 line.long 0x00 "MB10_32B_WORD4,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x228++0x03 line.long 0x00 "MB17_16B_WORD2,Message Buffer 17 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x228++0x03 line.long 0x00 "MB26_8B_WORD0,Message Buffer 26 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x228++0x03 line.long 0x00 "MB5_64B_WORD14,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x228++0x03 line.long 0x00 "WORD026,Message Buffer 26 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x22C++0x03 line.long 0x00 "MB10_32B_WORD5,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x22C++0x03 line.long 0x00 "MB17_16B_WORD3,Message Buffer 17 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x22C++0x03 line.long 0x00 "MB26_8B_WORD1,Message Buffer 26 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x22C++0x03 line.long 0x00 "MB5_64B_WORD15,Message Buffer 5 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x22C++0x03 line.long 0x00 "WORD126,Message Buffer 26 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x230++0x03 line.long 0x00 "CS27,Message Buffer 27 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x230++0x03 line.long 0x00 "MB10_32B_WORD6,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x230++0x03 line.long 0x00 "MB18_16B_CS,Message Buffer 18 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x230++0x03 line.long 0x00 "MB27_8B_CS,Message Buffer 27 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x230++0x03 line.long 0x00 "MB6_64B_CS,Message Buffer 6 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x234++0x03 line.long 0x00 "ID27,Message Buffer 27 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x234++0x03 line.long 0x00 "MB10_32B_WORD7,Message Buffer 10 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x234++0x03 line.long 0x00 "MB18_16B_ID,Message Buffer 18 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x234++0x03 line.long 0x00 "MB27_8B_ID,Message Buffer 27 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x234++0x03 line.long 0x00 "MB6_64B_ID,Message Buffer 6 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x238++0x03 line.long 0x00 "MB11_32B_CS,Message Buffer 11 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x238++0x03 line.long 0x00 "MB18_16B_WORD0,Message Buffer 18 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x238++0x03 line.long 0x00 "MB27_8B_WORD0,Message Buffer 27 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x238++0x03 line.long 0x00 "MB6_64B_WORD0,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x238++0x03 line.long 0x00 "WORD027,Message Buffer 27 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x23C++0x03 line.long 0x00 "MB11_32B_ID,Message Buffer 11 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x23C++0x03 line.long 0x00 "MB18_16B_WORD1,Message Buffer 18 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x23C++0x03 line.long 0x00 "MB27_8B_WORD1,Message Buffer 27 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x23C++0x03 line.long 0x00 "MB6_64B_WORD1,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x23C++0x03 line.long 0x00 "WORD127,Message Buffer 27 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x240++0x03 line.long 0x00 "CS28,Message Buffer 28 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x240++0x03 line.long 0x00 "MB11_32B_WORD0,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x240++0x03 line.long 0x00 "MB18_16B_WORD2,Message Buffer 18 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x240++0x03 line.long 0x00 "MB28_8B_CS,Message Buffer 28 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x240++0x03 line.long 0x00 "MB6_64B_WORD2,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x244++0x03 line.long 0x00 "ID28,Message Buffer 28 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x244++0x03 line.long 0x00 "MB11_32B_WORD1,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x244++0x03 line.long 0x00 "MB18_16B_WORD3,Message Buffer 18 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x244++0x03 line.long 0x00 "MB28_8B_ID,Message Buffer 28 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x244++0x03 line.long 0x00 "MB6_64B_WORD3,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x248++0x03 line.long 0x00 "MB11_32B_WORD2,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x248++0x03 line.long 0x00 "MB19_16B_CS,Message Buffer 19 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x248++0x03 line.long 0x00 "MB28_8B_WORD0,Message Buffer 28 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x248++0x03 line.long 0x00 "MB6_64B_WORD4,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x248++0x03 line.long 0x00 "WORD028,Message Buffer 28 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x24C++0x03 line.long 0x00 "MB11_32B_WORD3,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x24C++0x03 line.long 0x00 "MB19_16B_ID,Message Buffer 19 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x24C++0x03 line.long 0x00 "MB28_8B_WORD1,Message Buffer 28 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x24C++0x03 line.long 0x00 "MB6_64B_WORD5,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x24C++0x03 line.long 0x00 "WORD128,Message Buffer 28 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x250++0x03 line.long 0x00 "CS29,Message Buffer 29 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x250++0x03 line.long 0x00 "MB11_32B_WORD4,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x250++0x03 line.long 0x00 "MB19_16B_WORD0,Message Buffer 19 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x250++0x03 line.long 0x00 "MB29_8B_CS,Message Buffer 29 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x250++0x03 line.long 0x00 "MB6_64B_WORD6,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x254++0x03 line.long 0x00 "ID29,Message Buffer 29 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x254++0x03 line.long 0x00 "MB11_32B_WORD5,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x254++0x03 line.long 0x00 "MB19_16B_WORD1,Message Buffer 19 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x254++0x03 line.long 0x00 "MB29_8B_ID,Message Buffer 29 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x254++0x03 line.long 0x00 "MB6_64B_WORD7,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x258++0x03 line.long 0x00 "MB11_32B_WORD6,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x258++0x03 line.long 0x00 "MB19_16B_WORD2,Message Buffer 19 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x258++0x03 line.long 0x00 "MB29_8B_WORD0,Message Buffer 29 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x258++0x03 line.long 0x00 "MB6_64B_WORD8,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x258++0x03 line.long 0x00 "WORD029,Message Buffer 29 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x25C++0x03 line.long 0x00 "MB11_32B_WORD7,Message Buffer 11 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x25C++0x03 line.long 0x00 "MB19_16B_WORD3,Message Buffer 19 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x25C++0x03 line.long 0x00 "MB29_8B_WORD1,Message Buffer 29 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x25C++0x03 line.long 0x00 "MB6_64B_WORD9,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x25C++0x03 line.long 0x00 "WORD129,Message Buffer 29 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x260++0x03 line.long 0x00 "CS30,Message Buffer 30 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x260++0x03 line.long 0x00 "MB12_32B_CS,Message Buffer 12 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x260++0x03 line.long 0x00 "MB20_16B_CS,Message Buffer 20 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x260++0x03 line.long 0x00 "MB30_8B_CS,Message Buffer 30 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x260++0x03 line.long 0x00 "MB6_64B_WORD10,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x264++0x03 line.long 0x00 "ID30,Message Buffer 30 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x264++0x03 line.long 0x00 "MB12_32B_ID,Message Buffer 12 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x264++0x03 line.long 0x00 "MB20_16B_ID,Message Buffer 20 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x264++0x03 line.long 0x00 "MB30_8B_ID,Message Buffer 30 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x264++0x03 line.long 0x00 "MB6_64B_WORD11,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x268++0x03 line.long 0x00 "MB12_32B_WORD0,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x268++0x03 line.long 0x00 "MB20_16B_WORD0,Message Buffer 20 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x268++0x03 line.long 0x00 "MB30_8B_WORD0,Message Buffer 30 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x268++0x03 line.long 0x00 "MB6_64B_WORD12,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x268++0x03 line.long 0x00 "WORD030,Message Buffer 30 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x26C++0x03 line.long 0x00 "MB12_32B_WORD1,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x26C++0x03 line.long 0x00 "MB20_16B_WORD1,Message Buffer 20 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x26C++0x03 line.long 0x00 "MB30_8B_WORD1,Message Buffer 30 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x26C++0x03 line.long 0x00 "MB6_64B_WORD13,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x26C++0x03 line.long 0x00 "WORD130,Message Buffer 30 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x270++0x03 line.long 0x00 "CS31,Message Buffer 31 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x270++0x03 line.long 0x00 "MB12_32B_WORD2,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x270++0x03 line.long 0x00 "MB20_16B_WORD2,Message Buffer 20 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x270++0x03 line.long 0x00 "MB31_8B_CS,Message Buffer 31 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x270++0x03 line.long 0x00 "MB6_64B_WORD14,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x274++0x03 line.long 0x00 "ID31,Message Buffer 31 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x274++0x03 line.long 0x00 "MB12_32B_WORD3,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x274++0x03 line.long 0x00 "MB20_16B_WORD3,Message Buffer 20 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x274++0x03 line.long 0x00 "MB31_8B_ID,Message Buffer 31 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x274++0x03 line.long 0x00 "MB6_64B_WORD15,Message Buffer 6 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x278++0x03 line.long 0x00 "MB12_32B_WORD4,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x278++0x03 line.long 0x00 "MB21_16B_CS,Message Buffer 21 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x278++0x03 line.long 0x00 "MB31_8B_WORD0,Message Buffer 31 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x278++0x03 line.long 0x00 "MB7_64B_CS,Message Buffer 7 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x278++0x03 line.long 0x00 "WORD031,Message Buffer 31 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x27C++0x03 line.long 0x00 "MB12_32B_WORD5,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x27C++0x03 line.long 0x00 "MB21_16B_ID,Message Buffer 21 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x27C++0x03 line.long 0x00 "MB31_8B_WORD1,Message Buffer 31 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x27C++0x03 line.long 0x00 "MB7_64B_ID,Message Buffer 7 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x27C++0x03 line.long 0x00 "WORD131,Message Buffer 31 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x280++0x03 line.long 0x00 "CS32,Message Buffer 32 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x280++0x03 line.long 0x00 "MB12_32B_WORD6,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x280++0x03 line.long 0x00 "MB21_16B_WORD0,Message Buffer 21 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x280++0x03 line.long 0x00 "MB32_8B_CS,Message Buffer 32 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x280++0x03 line.long 0x00 "MB7_64B_WORD0,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x284++0x03 line.long 0x00 "ID32,Message Buffer 32 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x284++0x03 line.long 0x00 "MB12_32B_WORD7,Message Buffer 12 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x284++0x03 line.long 0x00 "MB21_16B_WORD1,Message Buffer 21 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x284++0x03 line.long 0x00 "MB32_8B_ID,Message Buffer 32 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x284++0x03 line.long 0x00 "MB7_64B_WORD1,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x288++0x03 line.long 0x00 "MB13_32B_CS,Message Buffer 13 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x288++0x03 line.long 0x00 "MB21_16B_WORD2,Message Buffer 21 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x288++0x03 line.long 0x00 "MB32_8B_WORD0,Message Buffer 32 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x288++0x03 line.long 0x00 "MB7_64B_WORD2,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x288++0x03 line.long 0x00 "WORD032,Message Buffer 32 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x28C++0x03 line.long 0x00 "MB13_32B_ID,Message Buffer 13 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x28C++0x03 line.long 0x00 "MB21_16B_WORD3,Message Buffer 21 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x28C++0x03 line.long 0x00 "MB32_8B_WORD1,Message Buffer 32 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x28C++0x03 line.long 0x00 "MB7_64B_WORD3,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x28C++0x03 line.long 0x00 "WORD132,Message Buffer 32 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x290++0x03 line.long 0x00 "CS33,Message Buffer 33 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x290++0x03 line.long 0x00 "MB13_32B_WORD0,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x290++0x03 line.long 0x00 "MB22_16B_CS,Message Buffer 22 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x290++0x03 line.long 0x00 "MB33_8B_CS,Message Buffer 33 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x290++0x03 line.long 0x00 "MB7_64B_WORD4,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x294++0x03 line.long 0x00 "ID33,Message Buffer 33 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x294++0x03 line.long 0x00 "MB13_32B_WORD1,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x294++0x03 line.long 0x00 "MB22_16B_ID,Message Buffer 22 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x294++0x03 line.long 0x00 "MB33_8B_ID,Message Buffer 33 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x294++0x03 line.long 0x00 "MB7_64B_WORD5,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x298++0x03 line.long 0x00 "MB13_32B_WORD2,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x298++0x03 line.long 0x00 "MB22_16B_WORD0,Message Buffer 22 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x298++0x03 line.long 0x00 "MB33_8B_WORD0,Message Buffer 33 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x298++0x03 line.long 0x00 "MB7_64B_WORD6,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x298++0x03 line.long 0x00 "WORD033,Message Buffer 33 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x29C++0x03 line.long 0x00 "MB13_32B_WORD3,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x29C++0x03 line.long 0x00 "MB22_16B_WORD1,Message Buffer 22 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x29C++0x03 line.long 0x00 "MB33_8B_WORD1,Message Buffer 33 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x29C++0x03 line.long 0x00 "MB7_64B_WORD7,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x29C++0x03 line.long 0x00 "WORD133,Message Buffer 33 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2A0++0x03 line.long 0x00 "CS34,Message Buffer 34 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2A0++0x03 line.long 0x00 "MB13_32B_WORD4,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x2A0++0x03 line.long 0x00 "MB22_16B_WORD2,Message Buffer 22 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2A0++0x03 line.long 0x00 "MB34_8B_CS,Message Buffer 34 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2A0++0x03 line.long 0x00 "MB7_64B_WORD8,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x2A4++0x03 line.long 0x00 "ID34,Message Buffer 34 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2A4++0x03 line.long 0x00 "MB13_32B_WORD5,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x2A4++0x03 line.long 0x00 "MB22_16B_WORD3,Message Buffer 22 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2A4++0x03 line.long 0x00 "MB34_8B_ID,Message Buffer 34 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2A4++0x03 line.long 0x00 "MB7_64B_WORD9,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x2A8++0x03 line.long 0x00 "MB13_32B_WORD6,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x2A8++0x03 line.long 0x00 "MB23_16B_CS,Message Buffer 23 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2A8++0x03 line.long 0x00 "MB34_8B_WORD0,Message Buffer 34 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2A8++0x03 line.long 0x00 "MB7_64B_WORD10,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x2A8++0x03 line.long 0x00 "WORD034,Message Buffer 34 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2AC++0x03 line.long 0x00 "MB13_32B_WORD7,Message Buffer 13 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x2AC++0x03 line.long 0x00 "MB23_16B_ID,Message Buffer 23 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2AC++0x03 line.long 0x00 "MB34_8B_WORD1,Message Buffer 34 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2AC++0x03 line.long 0x00 "MB7_64B_WORD11,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x2AC++0x03 line.long 0x00 "WORD134,Message Buffer 34 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2B0++0x03 line.long 0x00 "CS35,Message Buffer 35 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2B0++0x03 line.long 0x00 "MB14_32B_CS,Message Buffer 14 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2B0++0x03 line.long 0x00 "MB23_16B_WORD0,Message Buffer 23 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2B0++0x03 line.long 0x00 "MB35_8B_CS,Message Buffer 35 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2B0++0x03 line.long 0x00 "MB7_64B_WORD12,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x2B4++0x03 line.long 0x00 "ID35,Message Buffer 35 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2B4++0x03 line.long 0x00 "MB14_32B_ID,Message Buffer 14 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2B4++0x03 line.long 0x00 "MB23_16B_WORD1,Message Buffer 23 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2B4++0x03 line.long 0x00 "MB35_8B_ID,Message Buffer 35 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2B4++0x03 line.long 0x00 "MB7_64B_WORD13,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x2B8++0x03 line.long 0x00 "MB14_32B_WORD0,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2B8++0x03 line.long 0x00 "MB23_16B_WORD2,Message Buffer 23 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2B8++0x03 line.long 0x00 "MB35_8B_WORD0,Message Buffer 35 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2B8++0x03 line.long 0x00 "MB7_64B_WORD14,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x2B8++0x03 line.long 0x00 "WORD035,Message Buffer 35 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2BC++0x03 line.long 0x00 "MB14_32B_WORD1,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2BC++0x03 line.long 0x00 "MB23_16B_WORD3,Message Buffer 23 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2BC++0x03 line.long 0x00 "MB35_8B_WORD1,Message Buffer 35 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2BC++0x03 line.long 0x00 "MB7_64B_WORD15,Message Buffer 7 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x2BC++0x03 line.long 0x00 "WORD135,Message Buffer 35 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2C0++0x03 line.long 0x00 "CS36,Message Buffer 36 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2C0++0x03 line.long 0x00 "MB14_32B_WORD2,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2C0++0x03 line.long 0x00 "MB24_16B_CS,Message Buffer 24 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2C0++0x03 line.long 0x00 "MB36_8B_CS,Message Buffer 36 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2C0++0x03 line.long 0x00 "MB8_64B_CS,Message Buffer 8 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2C4++0x03 line.long 0x00 "ID36,Message Buffer 36 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2C4++0x03 line.long 0x00 "MB14_32B_WORD3,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2C4++0x03 line.long 0x00 "MB24_16B_ID,Message Buffer 24 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2C4++0x03 line.long 0x00 "MB36_8B_ID,Message Buffer 36 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2C4++0x03 line.long 0x00 "MB8_64B_ID,Message Buffer 8 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2C8++0x03 line.long 0x00 "MB14_32B_WORD4,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x2C8++0x03 line.long 0x00 "MB24_16B_WORD0,Message Buffer 24 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2C8++0x03 line.long 0x00 "MB36_8B_WORD0,Message Buffer 36 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2C8++0x03 line.long 0x00 "MB8_64B_WORD0,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2C8++0x03 line.long 0x00 "WORD036,Message Buffer 36 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2CC++0x03 line.long 0x00 "MB14_32B_WORD5,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x2CC++0x03 line.long 0x00 "MB24_16B_WORD1,Message Buffer 24 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2CC++0x03 line.long 0x00 "MB36_8B_WORD1,Message Buffer 36 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2CC++0x03 line.long 0x00 "MB8_64B_WORD1,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2CC++0x03 line.long 0x00 "WORD136,Message Buffer 36 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2D0++0x03 line.long 0x00 "CS37,Message Buffer 37 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2D0++0x03 line.long 0x00 "MB14_32B_WORD6,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x2D0++0x03 line.long 0x00 "MB24_16B_WORD2,Message Buffer 24 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2D0++0x03 line.long 0x00 "MB37_8B_CS,Message Buffer 37 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2D0++0x03 line.long 0x00 "MB8_64B_WORD2,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2D4++0x03 line.long 0x00 "ID37,Message Buffer 37 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2D4++0x03 line.long 0x00 "MB14_32B_WORD7,Message Buffer 14 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x2D4++0x03 line.long 0x00 "MB24_16B_WORD3,Message Buffer 24 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2D4++0x03 line.long 0x00 "MB37_8B_ID,Message Buffer 37 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2D4++0x03 line.long 0x00 "MB8_64B_WORD3,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2D8++0x03 line.long 0x00 "MB15_32B_CS,Message Buffer 15 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2D8++0x03 line.long 0x00 "MB25_16B_CS,Message Buffer 25 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2D8++0x03 line.long 0x00 "MB37_8B_WORD0,Message Buffer 37 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2D8++0x03 line.long 0x00 "MB8_64B_WORD4,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x2D8++0x03 line.long 0x00 "WORD037,Message Buffer 37 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2DC++0x03 line.long 0x00 "MB15_32B_ID,Message Buffer 15 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2DC++0x03 line.long 0x00 "MB25_16B_ID,Message Buffer 25 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2DC++0x03 line.long 0x00 "MB37_8B_WORD1,Message Buffer 37 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2DC++0x03 line.long 0x00 "MB8_64B_WORD5,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x2DC++0x03 line.long 0x00 "WORD137,Message Buffer 37 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2E0++0x03 line.long 0x00 "CS38,Message Buffer 38 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2E0++0x03 line.long 0x00 "MB15_32B_WORD0,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2E0++0x03 line.long 0x00 "MB25_16B_WORD0,Message Buffer 25 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2E0++0x03 line.long 0x00 "MB38_8B_CS,Message Buffer 38 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2E0++0x03 line.long 0x00 "MB8_64B_WORD6,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x2E4++0x03 line.long 0x00 "ID38,Message Buffer 38 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2E4++0x03 line.long 0x00 "MB15_32B_WORD1,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2E4++0x03 line.long 0x00 "MB25_16B_WORD1,Message Buffer 25 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2E4++0x03 line.long 0x00 "MB38_8B_ID,Message Buffer 38 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2E4++0x03 line.long 0x00 "MB8_64B_WORD7,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x2E8++0x03 line.long 0x00 "MB15_32B_WORD2,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2E8++0x03 line.long 0x00 "MB25_16B_WORD2,Message Buffer 25 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x2E8++0x03 line.long 0x00 "MB38_8B_WORD0,Message Buffer 38 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2E8++0x03 line.long 0x00 "MB8_64B_WORD8,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x2E8++0x03 line.long 0x00 "WORD038,Message Buffer 38 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2EC++0x03 line.long 0x00 "MB15_32B_WORD3,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2EC++0x03 line.long 0x00 "MB25_16B_WORD3,Message Buffer 25 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x2EC++0x03 line.long 0x00 "MB38_8B_WORD1,Message Buffer 38 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2EC++0x03 line.long 0x00 "MB8_64B_WORD9,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x2EC++0x03 line.long 0x00 "WORD138,Message Buffer 38 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2F0++0x03 line.long 0x00 "CS39,Message Buffer 39 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2F0++0x03 line.long 0x00 "MB15_32B_WORD4,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x2F0++0x03 line.long 0x00 "MB26_16B_CS,Message Buffer 26 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2F0++0x03 line.long 0x00 "MB39_8B_CS,Message Buffer 39 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x2F0++0x03 line.long 0x00 "MB8_64B_WORD10,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x2F4++0x03 line.long 0x00 "ID39,Message Buffer 39 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2F4++0x03 line.long 0x00 "MB15_32B_WORD5,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x2F4++0x03 line.long 0x00 "MB26_16B_ID,Message Buffer 26 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2F4++0x03 line.long 0x00 "MB39_8B_ID,Message Buffer 39 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x2F4++0x03 line.long 0x00 "MB8_64B_WORD11,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x2F8++0x03 line.long 0x00 "MB15_32B_WORD6,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x2F8++0x03 line.long 0x00 "MB26_16B_WORD0,Message Buffer 26 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2F8++0x03 line.long 0x00 "MB39_8B_WORD0,Message Buffer 39 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2F8++0x03 line.long 0x00 "MB8_64B_WORD12,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x2F8++0x03 line.long 0x00 "WORD039,Message Buffer 39 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x2FC++0x03 line.long 0x00 "MB15_32B_WORD7,Message Buffer 15 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x2FC++0x03 line.long 0x00 "MB26_16B_WORD1,Message Buffer 26 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2FC++0x03 line.long 0x00 "MB39_8B_WORD1,Message Buffer 39 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x2FC++0x03 line.long 0x00 "MB8_64B_WORD13,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x2FC++0x03 line.long 0x00 "WORD139,Message Buffer 39 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x300++0x03 line.long 0x00 "CS40,Message Buffer 40 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x300++0x03 line.long 0x00 "MB16_32B_CS,Message Buffer 16 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x300++0x03 line.long 0x00 "MB26_16B_WORD2,Message Buffer 26 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x300++0x03 line.long 0x00 "MB40_8B_CS,Message Buffer 40 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x300++0x03 line.long 0x00 "MB8_64B_WORD14,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x304++0x03 line.long 0x00 "ID40,Message Buffer 40 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x304++0x03 line.long 0x00 "MB16_32B_ID,Message Buffer 16 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x304++0x03 line.long 0x00 "MB26_16B_WORD3,Message Buffer 26 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x304++0x03 line.long 0x00 "MB40_8B_ID,Message Buffer 40 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x304++0x03 line.long 0x00 "MB8_64B_WORD15,Message Buffer 8 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x308++0x03 line.long 0x00 "MB16_32B_WORD0,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x308++0x03 line.long 0x00 "MB27_16B_CS,Message Buffer 27 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x308++0x03 line.long 0x00 "MB40_8B_WORD0,Message Buffer 40 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x308++0x03 line.long 0x00 "MB9_64B_CS,Message Buffer 9 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x308++0x03 line.long 0x00 "WORD040,Message Buffer 40 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x30C++0x03 line.long 0x00 "MB16_32B_WORD1,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x30C++0x03 line.long 0x00 "MB27_16B_ID,Message Buffer 27 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x30C++0x03 line.long 0x00 "MB40_8B_WORD1,Message Buffer 40 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x30C++0x03 line.long 0x00 "MB9_64B_ID,Message Buffer 9 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x30C++0x03 line.long 0x00 "WORD140,Message Buffer 40 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x310++0x03 line.long 0x00 "CS41,Message Buffer 41 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x310++0x03 line.long 0x00 "MB16_32B_WORD2,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x310++0x03 line.long 0x00 "MB27_16B_WORD0,Message Buffer 27 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x310++0x03 line.long 0x00 "MB41_8B_CS,Message Buffer 41 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x310++0x03 line.long 0x00 "MB9_64B_WORD0,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x314++0x03 line.long 0x00 "ID41,Message Buffer 41 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x314++0x03 line.long 0x00 "MB16_32B_WORD3,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x314++0x03 line.long 0x00 "MB27_16B_WORD1,Message Buffer 27 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x314++0x03 line.long 0x00 "MB41_8B_ID,Message Buffer 41 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x314++0x03 line.long 0x00 "MB9_64B_WORD1,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x318++0x03 line.long 0x00 "MB16_32B_WORD4,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x318++0x03 line.long 0x00 "MB27_16B_WORD2,Message Buffer 27 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x318++0x03 line.long 0x00 "MB41_8B_WORD0,Message Buffer 41 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x318++0x03 line.long 0x00 "MB9_64B_WORD2,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x318++0x03 line.long 0x00 "WORD041,Message Buffer 41 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x31C++0x03 line.long 0x00 "MB16_32B_WORD5,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x31C++0x03 line.long 0x00 "MB27_16B_WORD3,Message Buffer 27 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x31C++0x03 line.long 0x00 "MB41_8B_WORD1,Message Buffer 41 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x31C++0x03 line.long 0x00 "MB9_64B_WORD3,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x31C++0x03 line.long 0x00 "WORD141,Message Buffer 41 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x320++0x03 line.long 0x00 "CS42,Message Buffer 42 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x320++0x03 line.long 0x00 "MB16_32B_WORD6,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x320++0x03 line.long 0x00 "MB28_16B_CS,Message Buffer 28 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x320++0x03 line.long 0x00 "MB42_8B_CS,Message Buffer 42 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x320++0x03 line.long 0x00 "MB9_64B_WORD4,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x324++0x03 line.long 0x00 "ID42,Message Buffer 42 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x324++0x03 line.long 0x00 "MB16_32B_WORD7,Message Buffer 16 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x324++0x03 line.long 0x00 "MB28_16B_ID,Message Buffer 28 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x324++0x03 line.long 0x00 "MB42_8B_ID,Message Buffer 42 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x324++0x03 line.long 0x00 "MB9_64B_WORD5,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x328++0x03 line.long 0x00 "MB17_32B_CS,Message Buffer 17 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x328++0x03 line.long 0x00 "MB28_16B_WORD0,Message Buffer 28 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x328++0x03 line.long 0x00 "MB42_8B_WORD0,Message Buffer 42 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x328++0x03 line.long 0x00 "MB9_64B_WORD6,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x328++0x03 line.long 0x00 "WORD042,Message Buffer 42 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x32C++0x03 line.long 0x00 "MB17_32B_ID,Message Buffer 17 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x32C++0x03 line.long 0x00 "MB28_16B_WORD1,Message Buffer 28 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x32C++0x03 line.long 0x00 "MB42_8B_WORD1,Message Buffer 42 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x32C++0x03 line.long 0x00 "MB9_64B_WORD7,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x32C++0x03 line.long 0x00 "WORD142,Message Buffer 42 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x330++0x03 line.long 0x00 "CS43,Message Buffer 43 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x330++0x03 line.long 0x00 "MB17_32B_WORD0,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x330++0x03 line.long 0x00 "MB28_16B_WORD2,Message Buffer 28 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x330++0x03 line.long 0x00 "MB43_8B_CS,Message Buffer 43 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x330++0x03 line.long 0x00 "MB9_64B_WORD8,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x334++0x03 line.long 0x00 "ID43,Message Buffer 43 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x334++0x03 line.long 0x00 "MB17_32B_WORD1,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x334++0x03 line.long 0x00 "MB28_16B_WORD3,Message Buffer 28 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x334++0x03 line.long 0x00 "MB43_8B_ID,Message Buffer 43 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x334++0x03 line.long 0x00 "MB9_64B_WORD9,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x338++0x03 line.long 0x00 "MB17_32B_WORD2,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x338++0x03 line.long 0x00 "MB29_16B_CS,Message Buffer 29 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x338++0x03 line.long 0x00 "MB43_8B_WORD0,Message Buffer 43 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x338++0x03 line.long 0x00 "MB9_64B_WORD10,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x338++0x03 line.long 0x00 "WORD043,Message Buffer 43 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x33C++0x03 line.long 0x00 "MB17_32B_WORD3,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x33C++0x03 line.long 0x00 "MB29_16B_ID,Message Buffer 29 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x33C++0x03 line.long 0x00 "MB43_8B_WORD1,Message Buffer 43 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x33C++0x03 line.long 0x00 "MB9_64B_WORD11,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x33C++0x03 line.long 0x00 "WORD143,Message Buffer 43 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x340++0x03 line.long 0x00 "CS44,Message Buffer 44 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x340++0x03 line.long 0x00 "MB17_32B_WORD4,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x340++0x03 line.long 0x00 "MB29_16B_WORD0,Message Buffer 29 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x340++0x03 line.long 0x00 "MB44_8B_CS,Message Buffer 44 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x340++0x03 line.long 0x00 "MB9_64B_WORD12,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x344++0x03 line.long 0x00 "ID44,Message Buffer 44 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x344++0x03 line.long 0x00 "MB17_32B_WORD5,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x344++0x03 line.long 0x00 "MB29_16B_WORD1,Message Buffer 29 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x344++0x03 line.long 0x00 "MB44_8B_ID,Message Buffer 44 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x344++0x03 line.long 0x00 "MB9_64B_WORD13,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x348++0x03 line.long 0x00 "MB17_32B_WORD6,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x348++0x03 line.long 0x00 "MB29_16B_WORD2,Message Buffer 29 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x348++0x03 line.long 0x00 "MB44_8B_WORD0,Message Buffer 44 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x348++0x03 line.long 0x00 "MB9_64B_WORD14,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x348++0x03 line.long 0x00 "WORD044,Message Buffer 44 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x34C++0x03 line.long 0x00 "MB17_32B_WORD7,Message Buffer 17 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x34C++0x03 line.long 0x00 "MB29_16B_WORD3,Message Buffer 29 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x34C++0x03 line.long 0x00 "MB44_8B_WORD1,Message Buffer 44 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x34C++0x03 line.long 0x00 "MB9_64B_WORD15,Message Buffer 9 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x34C++0x03 line.long 0x00 "WORD144,Message Buffer 44 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x350++0x03 line.long 0x00 "CS45,Message Buffer 45 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x350++0x03 line.long 0x00 "MB10_64B_CS,Message Buffer 10 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x350++0x03 line.long 0x00 "MB18_32B_CS,Message Buffer 18 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x350++0x03 line.long 0x00 "MB30_16B_CS,Message Buffer 30 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x350++0x03 line.long 0x00 "MB45_8B_CS,Message Buffer 45 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x354++0x03 line.long 0x00 "ID45,Message Buffer 45 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x354++0x03 line.long 0x00 "MB10_64B_ID,Message Buffer 10 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x354++0x03 line.long 0x00 "MB18_32B_ID,Message Buffer 18 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x354++0x03 line.long 0x00 "MB30_16B_ID,Message Buffer 30 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x354++0x03 line.long 0x00 "MB45_8B_ID,Message Buffer 45 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x358++0x03 line.long 0x00 "MB10_64B_WORD0,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x358++0x03 line.long 0x00 "MB18_32B_WORD0,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x358++0x03 line.long 0x00 "MB30_16B_WORD0,Message Buffer 30 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x358++0x03 line.long 0x00 "MB45_8B_WORD0,Message Buffer 45 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x358++0x03 line.long 0x00 "WORD045,Message Buffer 45 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x35C++0x03 line.long 0x00 "MB10_64B_WORD1,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x35C++0x03 line.long 0x00 "MB18_32B_WORD1,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x35C++0x03 line.long 0x00 "MB30_16B_WORD1,Message Buffer 30 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x35C++0x03 line.long 0x00 "MB45_8B_WORD1,Message Buffer 45 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x35C++0x03 line.long 0x00 "WORD145,Message Buffer 45 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x360++0x03 line.long 0x00 "CS46,Message Buffer 46 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x360++0x03 line.long 0x00 "MB10_64B_WORD2,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x360++0x03 line.long 0x00 "MB18_32B_WORD2,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x360++0x03 line.long 0x00 "MB30_16B_WORD2,Message Buffer 30 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x360++0x03 line.long 0x00 "MB46_8B_CS,Message Buffer 46 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x364++0x03 line.long 0x00 "ID46,Message Buffer 46 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x364++0x03 line.long 0x00 "MB10_64B_WORD3,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x364++0x03 line.long 0x00 "MB18_32B_WORD3,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x364++0x03 line.long 0x00 "MB30_16B_WORD3,Message Buffer 30 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x364++0x03 line.long 0x00 "MB46_8B_ID,Message Buffer 46 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x368++0x03 line.long 0x00 "MB10_64B_WORD4,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x368++0x03 line.long 0x00 "MB18_32B_WORD4,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x368++0x03 line.long 0x00 "MB31_16B_CS,Message Buffer 31 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x368++0x03 line.long 0x00 "MB46_8B_WORD0,Message Buffer 46 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x368++0x03 line.long 0x00 "WORD046,Message Buffer 46 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x36C++0x03 line.long 0x00 "MB10_64B_WORD5,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x36C++0x03 line.long 0x00 "MB18_32B_WORD5,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x36C++0x03 line.long 0x00 "MB31_16B_ID,Message Buffer 31 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x36C++0x03 line.long 0x00 "MB46_8B_WORD1,Message Buffer 46 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x36C++0x03 line.long 0x00 "WORD146,Message Buffer 46 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x370++0x03 line.long 0x00 "CS47,Message Buffer 47 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x370++0x03 line.long 0x00 "MB10_64B_WORD6,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x370++0x03 line.long 0x00 "MB18_32B_WORD6,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x370++0x03 line.long 0x00 "MB31_16B_WORD0,Message Buffer 31 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x370++0x03 line.long 0x00 "MB47_8B_CS,Message Buffer 47 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x374++0x03 line.long 0x00 "ID47,Message Buffer 47 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x374++0x03 line.long 0x00 "MB10_64B_WORD7,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x374++0x03 line.long 0x00 "MB18_32B_WORD7,Message Buffer 18 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x374++0x03 line.long 0x00 "MB31_16B_WORD1,Message Buffer 31 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x374++0x03 line.long 0x00 "MB47_8B_ID,Message Buffer 47 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x378++0x03 line.long 0x00 "MB10_64B_WORD8,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x378++0x03 line.long 0x00 "MB19_32B_CS,Message Buffer 19 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x378++0x03 line.long 0x00 "MB31_16B_WORD2,Message Buffer 31 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x378++0x03 line.long 0x00 "MB47_8B_WORD0,Message Buffer 47 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x378++0x03 line.long 0x00 "WORD047,Message Buffer 47 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x37C++0x03 line.long 0x00 "MB10_64B_WORD9,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x37C++0x03 line.long 0x00 "MB19_32B_ID,Message Buffer 19 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x37C++0x03 line.long 0x00 "MB31_16B_WORD3,Message Buffer 31 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x37C++0x03 line.long 0x00 "MB47_8B_WORD1,Message Buffer 47 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x37C++0x03 line.long 0x00 "WORD147,Message Buffer 47 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x380++0x03 line.long 0x00 "CS48,Message Buffer 48 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x380++0x03 line.long 0x00 "MB10_64B_WORD10,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x380++0x03 line.long 0x00 "MB19_32B_WORD0,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x380++0x03 line.long 0x00 "MB32_16B_CS,Message Buffer 32 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x380++0x03 line.long 0x00 "MB48_8B_CS,Message Buffer 48 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x384++0x03 line.long 0x00 "ID48,Message Buffer 48 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x384++0x03 line.long 0x00 "MB10_64B_WORD11,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x384++0x03 line.long 0x00 "MB19_32B_WORD1,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x384++0x03 line.long 0x00 "MB32_16B_ID,Message Buffer 32 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x384++0x03 line.long 0x00 "MB48_8B_ID,Message Buffer 48 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x388++0x03 line.long 0x00 "MB10_64B_WORD12,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x388++0x03 line.long 0x00 "MB19_32B_WORD2,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x388++0x03 line.long 0x00 "MB32_16B_WORD0,Message Buffer 32 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x388++0x03 line.long 0x00 "MB48_8B_WORD0,Message Buffer 48 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x388++0x03 line.long 0x00 "WORD048,Message Buffer 48 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x38C++0x03 line.long 0x00 "MB10_64B_WORD13,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x38C++0x03 line.long 0x00 "MB19_32B_WORD3,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x38C++0x03 line.long 0x00 "MB32_16B_WORD1,Message Buffer 32 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x38C++0x03 line.long 0x00 "MB48_8B_WORD1,Message Buffer 48 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x38C++0x03 line.long 0x00 "WORD148,Message Buffer 48 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x390++0x03 line.long 0x00 "CS49,Message Buffer 49 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x390++0x03 line.long 0x00 "MB10_64B_WORD14,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x390++0x03 line.long 0x00 "MB19_32B_WORD4,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x390++0x03 line.long 0x00 "MB32_16B_WORD2,Message Buffer 32 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x390++0x03 line.long 0x00 "MB49_8B_CS,Message Buffer 49 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x394++0x03 line.long 0x00 "ID49,Message Buffer 49 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x394++0x03 line.long 0x00 "MB10_64B_WORD15,Message Buffer 10 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x394++0x03 line.long 0x00 "MB19_32B_WORD5,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x394++0x03 line.long 0x00 "MB32_16B_WORD3,Message Buffer 32 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x394++0x03 line.long 0x00 "MB49_8B_ID,Message Buffer 49 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x398++0x03 line.long 0x00 "MB11_64B_CS,Message Buffer 11 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x398++0x03 line.long 0x00 "MB19_32B_WORD6,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x398++0x03 line.long 0x00 "MB33_16B_CS,Message Buffer 33 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x398++0x03 line.long 0x00 "MB49_8B_WORD0,Message Buffer 49 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x398++0x03 line.long 0x00 "WORD049,Message Buffer 49 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x39C++0x03 line.long 0x00 "MB11_64B_ID,Message Buffer 11 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x39C++0x03 line.long 0x00 "MB19_32B_WORD7,Message Buffer 19 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x39C++0x03 line.long 0x00 "MB33_16B_ID,Message Buffer 33 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x39C++0x03 line.long 0x00 "MB49_8B_WORD1,Message Buffer 49 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x39C++0x03 line.long 0x00 "WORD149,Message Buffer 49 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3A0++0x03 line.long 0x00 "CS50,Message Buffer 50 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3A0++0x03 line.long 0x00 "MB11_64B_WORD0,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3A0++0x03 line.long 0x00 "MB20_32B_CS,Message Buffer 20 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3A0++0x03 line.long 0x00 "MB33_16B_WORD0,Message Buffer 33 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3A0++0x03 line.long 0x00 "MB50_8B_CS,Message Buffer 50 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3A4++0x03 line.long 0x00 "ID50,Message Buffer 50 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3A4++0x03 line.long 0x00 "MB11_64B_WORD1,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3A4++0x03 line.long 0x00 "MB20_32B_ID,Message Buffer 20 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3A4++0x03 line.long 0x00 "MB33_16B_WORD1,Message Buffer 33 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3A4++0x03 line.long 0x00 "MB50_8B_ID,Message Buffer 50 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3A8++0x03 line.long 0x00 "MB11_64B_WORD2,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3A8++0x03 line.long 0x00 "MB20_32B_WORD0,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3A8++0x03 line.long 0x00 "MB33_16B_WORD2,Message Buffer 33 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3A8++0x03 line.long 0x00 "MB50_8B_WORD0,Message Buffer 50 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3A8++0x03 line.long 0x00 "WORD050,Message Buffer 50 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3AC++0x03 line.long 0x00 "MB11_64B_WORD3,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3AC++0x03 line.long 0x00 "MB20_32B_WORD1,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3AC++0x03 line.long 0x00 "MB33_16B_WORD3,Message Buffer 33 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3AC++0x03 line.long 0x00 "MB50_8B_WORD1,Message Buffer 50 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3AC++0x03 line.long 0x00 "WORD150,Message Buffer 50 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3B0++0x03 line.long 0x00 "CS51,Message Buffer 51 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3B0++0x03 line.long 0x00 "MB11_64B_WORD4,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x3B0++0x03 line.long 0x00 "MB20_32B_WORD2,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3B0++0x03 line.long 0x00 "MB34_16B_CS,Message Buffer 34 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3B0++0x03 line.long 0x00 "MB51_8B_CS,Message Buffer 51 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3B4++0x03 line.long 0x00 "ID51,Message Buffer 51 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3B4++0x03 line.long 0x00 "MB11_64B_WORD5,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x3B4++0x03 line.long 0x00 "MB20_32B_WORD3,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3B4++0x03 line.long 0x00 "MB34_16B_ID,Message Buffer 34 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3B4++0x03 line.long 0x00 "MB51_8B_ID,Message Buffer 51 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3B8++0x03 line.long 0x00 "MB11_64B_WORD6,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x3B8++0x03 line.long 0x00 "MB20_32B_WORD4,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x3B8++0x03 line.long 0x00 "MB34_16B_WORD0,Message Buffer 34 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3B8++0x03 line.long 0x00 "MB51_8B_WORD0,Message Buffer 51 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3B8++0x03 line.long 0x00 "WORD051,Message Buffer 51 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3BC++0x03 line.long 0x00 "MB11_64B_WORD7,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x3BC++0x03 line.long 0x00 "MB20_32B_WORD5,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x3BC++0x03 line.long 0x00 "MB34_16B_WORD1,Message Buffer 34 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3BC++0x03 line.long 0x00 "MB51_8B_WORD1,Message Buffer 51 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3BC++0x03 line.long 0x00 "WORD151,Message Buffer 51 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3C0++0x03 line.long 0x00 "CS52,Message Buffer 52 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3C0++0x03 line.long 0x00 "MB11_64B_WORD8,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x3C0++0x03 line.long 0x00 "MB20_32B_WORD6,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x3C0++0x03 line.long 0x00 "MB34_16B_WORD2,Message Buffer 34 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3C0++0x03 line.long 0x00 "MB52_8B_CS,Message Buffer 52 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3C4++0x03 line.long 0x00 "ID52,Message Buffer 52 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3C4++0x03 line.long 0x00 "MB11_64B_WORD9,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x3C4++0x03 line.long 0x00 "MB20_32B_WORD7,Message Buffer 20 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x3C4++0x03 line.long 0x00 "MB34_16B_WORD3,Message Buffer 34 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3C4++0x03 line.long 0x00 "MB52_8B_ID,Message Buffer 52 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3C8++0x03 line.long 0x00 "MB11_64B_WORD10,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x3C8++0x03 line.long 0x00 "MB21_32B_CS,Message Buffer 21 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3C8++0x03 line.long 0x00 "MB35_16B_CS,Message Buffer 35 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3C8++0x03 line.long 0x00 "MB52_8B_WORD0,Message Buffer 52 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3C8++0x03 line.long 0x00 "WORD052,Message Buffer 52 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3CC++0x03 line.long 0x00 "MB11_64B_WORD11,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x3CC++0x03 line.long 0x00 "MB21_32B_ID,Message Buffer 21 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3CC++0x03 line.long 0x00 "MB35_16B_ID,Message Buffer 35 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3CC++0x03 line.long 0x00 "MB52_8B_WORD1,Message Buffer 52 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3CC++0x03 line.long 0x00 "WORD152,Message Buffer 52 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3D0++0x03 line.long 0x00 "CS53,Message Buffer 53 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3D0++0x03 line.long 0x00 "MB11_64B_WORD12,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x3D0++0x03 line.long 0x00 "MB21_32B_WORD0,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3D0++0x03 line.long 0x00 "MB35_16B_WORD0,Message Buffer 35 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3D0++0x03 line.long 0x00 "MB53_8B_CS,Message Buffer 53 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3D4++0x03 line.long 0x00 "ID53,Message Buffer 53 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3D4++0x03 line.long 0x00 "MB11_64B_WORD13,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x3D4++0x03 line.long 0x00 "MB21_32B_WORD1,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3D4++0x03 line.long 0x00 "MB35_16B_WORD1,Message Buffer 35 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3D4++0x03 line.long 0x00 "MB53_8B_ID,Message Buffer 53 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3D8++0x03 line.long 0x00 "MB11_64B_WORD14,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x3D8++0x03 line.long 0x00 "MB21_32B_WORD2,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3D8++0x03 line.long 0x00 "MB35_16B_WORD2,Message Buffer 35 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3D8++0x03 line.long 0x00 "MB53_8B_WORD0,Message Buffer 53 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3D8++0x03 line.long 0x00 "WORD053,Message Buffer 53 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3DC++0x03 line.long 0x00 "MB11_64B_WORD15,Message Buffer 11 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x3DC++0x03 line.long 0x00 "MB21_32B_WORD3,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3DC++0x03 line.long 0x00 "MB35_16B_WORD3,Message Buffer 35 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3DC++0x03 line.long 0x00 "MB53_8B_WORD1,Message Buffer 53 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3DC++0x03 line.long 0x00 "WORD153,Message Buffer 53 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3E0++0x03 line.long 0x00 "CS54,Message Buffer 54 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3E0++0x03 line.long 0x00 "MB12_64B_CS,Message Buffer 12 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3E0++0x03 line.long 0x00 "MB21_32B_WORD4,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x3E0++0x03 line.long 0x00 "MB36_16B_CS,Message Buffer 36 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3E0++0x03 line.long 0x00 "MB54_8B_CS,Message Buffer 54 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3E4++0x03 line.long 0x00 "ID54,Message Buffer 54 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3E4++0x03 line.long 0x00 "MB12_64B_ID,Message Buffer 12 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3E4++0x03 line.long 0x00 "MB21_32B_WORD5,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x3E4++0x03 line.long 0x00 "MB36_16B_ID,Message Buffer 36 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3E4++0x03 line.long 0x00 "MB54_8B_ID,Message Buffer 54 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3E8++0x03 line.long 0x00 "MB12_64B_WORD0,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3E8++0x03 line.long 0x00 "MB21_32B_WORD6,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x3E8++0x03 line.long 0x00 "MB36_16B_WORD0,Message Buffer 36 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3E8++0x03 line.long 0x00 "MB54_8B_WORD0,Message Buffer 54 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3E8++0x03 line.long 0x00 "WORD054,Message Buffer 54 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3EC++0x03 line.long 0x00 "MB12_64B_WORD1,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3EC++0x03 line.long 0x00 "MB21_32B_WORD7,Message Buffer 21 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x3EC++0x03 line.long 0x00 "MB36_16B_WORD1,Message Buffer 36 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3EC++0x03 line.long 0x00 "MB54_8B_WORD1,Message Buffer 54 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3EC++0x03 line.long 0x00 "WORD154,Message Buffer 54 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3F0++0x03 line.long 0x00 "CS55,Message Buffer 55 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3F0++0x03 line.long 0x00 "MB12_64B_WORD2,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3F0++0x03 line.long 0x00 "MB22_32B_CS,Message Buffer 22 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3F0++0x03 line.long 0x00 "MB36_16B_WORD2,Message Buffer 36 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x3F0++0x03 line.long 0x00 "MB55_8B_CS,Message Buffer 55 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3F4++0x03 line.long 0x00 "ID55,Message Buffer 55 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3F4++0x03 line.long 0x00 "MB12_64B_WORD3,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3F4++0x03 line.long 0x00 "MB22_32B_ID,Message Buffer 22 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3F4++0x03 line.long 0x00 "MB36_16B_WORD3,Message Buffer 36 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x3F4++0x03 line.long 0x00 "MB55_8B_ID,Message Buffer 55 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3F8++0x03 line.long 0x00 "MB12_64B_WORD4,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x3F8++0x03 line.long 0x00 "MB22_32B_WORD0,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3F8++0x03 line.long 0x00 "MB37_16B_CS,Message Buffer 37 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x3F8++0x03 line.long 0x00 "MB55_8B_WORD0,Message Buffer 55 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3F8++0x03 line.long 0x00 "WORD055,Message Buffer 55 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x3FC++0x03 line.long 0x00 "MB12_64B_WORD5,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x3FC++0x03 line.long 0x00 "MB22_32B_WORD1,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3FC++0x03 line.long 0x00 "MB37_16B_ID,Message Buffer 37 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x3FC++0x03 line.long 0x00 "MB55_8B_WORD1,Message Buffer 55 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x3FC++0x03 line.long 0x00 "WORD155,Message Buffer 55 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x400++0x03 line.long 0x00 "CS56,Message Buffer 56 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x400++0x03 line.long 0x00 "MB12_64B_WORD6,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x400++0x03 line.long 0x00 "MB22_32B_WORD2,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x400++0x03 line.long 0x00 "MB37_16B_WORD0,Message Buffer 37 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x400++0x03 line.long 0x00 "MB56_8B_CS,Message Buffer 56 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x404++0x03 line.long 0x00 "ID56,Message Buffer 56 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x404++0x03 line.long 0x00 "MB12_64B_WORD7,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x404++0x03 line.long 0x00 "MB22_32B_WORD3,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x404++0x03 line.long 0x00 "MB37_16B_WORD1,Message Buffer 37 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x404++0x03 line.long 0x00 "MB56_8B_ID,Message Buffer 56 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x408++0x03 line.long 0x00 "MB12_64B_WORD8,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x408++0x03 line.long 0x00 "MB22_32B_WORD4,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x408++0x03 line.long 0x00 "MB37_16B_WORD2,Message Buffer 37 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x408++0x03 line.long 0x00 "MB56_8B_WORD0,Message Buffer 56 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x408++0x03 line.long 0x00 "WORD056,Message Buffer 56 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x40C++0x03 line.long 0x00 "MB12_64B_WORD9,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x40C++0x03 line.long 0x00 "MB22_32B_WORD5,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x40C++0x03 line.long 0x00 "MB37_16B_WORD3,Message Buffer 37 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x40C++0x03 line.long 0x00 "MB56_8B_WORD1,Message Buffer 56 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x40C++0x03 line.long 0x00 "WORD156,Message Buffer 56 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x410++0x03 line.long 0x00 "CS57,Message Buffer 57 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x410++0x03 line.long 0x00 "MB12_64B_WORD10,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x410++0x03 line.long 0x00 "MB22_32B_WORD6,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x410++0x03 line.long 0x00 "MB38_16B_CS,Message Buffer 38 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x410++0x03 line.long 0x00 "MB57_8B_CS,Message Buffer 57 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x414++0x03 line.long 0x00 "ID57,Message Buffer 57 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x414++0x03 line.long 0x00 "MB12_64B_WORD11,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x414++0x03 line.long 0x00 "MB22_32B_WORD7,Message Buffer 22 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x414++0x03 line.long 0x00 "MB38_16B_ID,Message Buffer 38 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x414++0x03 line.long 0x00 "MB57_8B_ID,Message Buffer 57 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x418++0x03 line.long 0x00 "MB12_64B_WORD12,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x418++0x03 line.long 0x00 "MB23_32B_CS,Message Buffer 23 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x418++0x03 line.long 0x00 "MB38_16B_WORD0,Message Buffer 38 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x418++0x03 line.long 0x00 "MB57_8B_WORD0,Message Buffer 57 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x418++0x03 line.long 0x00 "WORD057,Message Buffer 57 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x41C++0x03 line.long 0x00 "MB12_64B_WORD13,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x41C++0x03 line.long 0x00 "MB23_32B_ID,Message Buffer 23 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x41C++0x03 line.long 0x00 "MB38_16B_WORD1,Message Buffer 38 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x41C++0x03 line.long 0x00 "MB57_8B_WORD1,Message Buffer 57 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x41C++0x03 line.long 0x00 "WORD157,Message Buffer 57 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x420++0x03 line.long 0x00 "CS58,Message Buffer 58 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x420++0x03 line.long 0x00 "MB12_64B_WORD14,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x420++0x03 line.long 0x00 "MB23_32B_WORD0,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x420++0x03 line.long 0x00 "MB38_16B_WORD2,Message Buffer 38 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x420++0x03 line.long 0x00 "MB58_8B_CS,Message Buffer 58 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x424++0x03 line.long 0x00 "ID58,Message Buffer 58 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x424++0x03 line.long 0x00 "MB12_64B_WORD15,Message Buffer 12 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x424++0x03 line.long 0x00 "MB23_32B_WORD1,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x424++0x03 line.long 0x00 "MB38_16B_WORD3,Message Buffer 38 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x424++0x03 line.long 0x00 "MB58_8B_ID,Message Buffer 58 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x428++0x03 line.long 0x00 "MB13_64B_CS,Message Buffer 13 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x428++0x03 line.long 0x00 "MB23_32B_WORD2,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x428++0x03 line.long 0x00 "MB39_16B_CS,Message Buffer 39 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x428++0x03 line.long 0x00 "MB58_8B_WORD0,Message Buffer 58 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x428++0x03 line.long 0x00 "WORD058,Message Buffer 58 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x42C++0x03 line.long 0x00 "MB13_64B_ID,Message Buffer 13 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x42C++0x03 line.long 0x00 "MB23_32B_WORD3,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x42C++0x03 line.long 0x00 "MB39_16B_ID,Message Buffer 39 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x42C++0x03 line.long 0x00 "MB58_8B_WORD1,Message Buffer 58 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x42C++0x03 line.long 0x00 "WORD158,Message Buffer 58 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x430++0x03 line.long 0x00 "CS59,Message Buffer 59 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x430++0x03 line.long 0x00 "MB13_64B_WORD0,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x430++0x03 line.long 0x00 "MB23_32B_WORD4,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x430++0x03 line.long 0x00 "MB39_16B_WORD0,Message Buffer 39 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x430++0x03 line.long 0x00 "MB59_8B_CS,Message Buffer 59 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x434++0x03 line.long 0x00 "ID59,Message Buffer 59 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x434++0x03 line.long 0x00 "MB13_64B_WORD1,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x434++0x03 line.long 0x00 "MB23_32B_WORD5,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x434++0x03 line.long 0x00 "MB39_16B_WORD1,Message Buffer 39 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x434++0x03 line.long 0x00 "MB59_8B_ID,Message Buffer 59 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x438++0x03 line.long 0x00 "MB13_64B_WORD2,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x438++0x03 line.long 0x00 "MB23_32B_WORD6,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x438++0x03 line.long 0x00 "MB39_16B_WORD2,Message Buffer 39 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x438++0x03 line.long 0x00 "MB59_8B_WORD0,Message Buffer 59 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x438++0x03 line.long 0x00 "WORD059,Message Buffer 59 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x43C++0x03 line.long 0x00 "MB13_64B_WORD3,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x43C++0x03 line.long 0x00 "MB23_32B_WORD7,Message Buffer 23 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x43C++0x03 line.long 0x00 "MB39_16B_WORD3,Message Buffer 39 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x43C++0x03 line.long 0x00 "MB59_8B_WORD1,Message Buffer 59 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x43C++0x03 line.long 0x00 "WORD159,Message Buffer 59 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x440++0x03 line.long 0x00 "CS60,Message Buffer 60 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x440++0x03 line.long 0x00 "MB13_64B_WORD4,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x440++0x03 line.long 0x00 "MB24_32B_CS,Message Buffer 24 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x440++0x03 line.long 0x00 "MB40_16B_CS,Message Buffer 40 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x440++0x03 line.long 0x00 "MB60_8B_CS,Message Buffer 60 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x444++0x03 line.long 0x00 "ID60,Message Buffer 60 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x444++0x03 line.long 0x00 "MB13_64B_WORD5,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x444++0x03 line.long 0x00 "MB24_32B_ID,Message Buffer 24 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x444++0x03 line.long 0x00 "MB40_16B_ID,Message Buffer 40 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x444++0x03 line.long 0x00 "MB60_8B_ID,Message Buffer 60 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x448++0x03 line.long 0x00 "MB13_64B_WORD6,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x448++0x03 line.long 0x00 "MB24_32B_WORD0,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x448++0x03 line.long 0x00 "MB40_16B_WORD0,Message Buffer 40 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x448++0x03 line.long 0x00 "MB60_8B_WORD0,Message Buffer 60 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x448++0x03 line.long 0x00 "WORD060,Message Buffer 60 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x44C++0x03 line.long 0x00 "MB13_64B_WORD7,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x44C++0x03 line.long 0x00 "MB24_32B_WORD1,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x44C++0x03 line.long 0x00 "MB40_16B_WORD1,Message Buffer 40 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x44C++0x03 line.long 0x00 "MB60_8B_WORD1,Message Buffer 60 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x44C++0x03 line.long 0x00 "WORD160,Message Buffer 60 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x450++0x03 line.long 0x00 "CS61,Message Buffer 61 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x450++0x03 line.long 0x00 "MB13_64B_WORD8,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x450++0x03 line.long 0x00 "MB24_32B_WORD2,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x450++0x03 line.long 0x00 "MB40_16B_WORD2,Message Buffer 40 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x450++0x03 line.long 0x00 "MB61_8B_CS,Message Buffer 61 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x454++0x03 line.long 0x00 "ID61,Message Buffer 61 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x454++0x03 line.long 0x00 "MB13_64B_WORD9,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x454++0x03 line.long 0x00 "MB24_32B_WORD3,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x454++0x03 line.long 0x00 "MB40_16B_WORD3,Message Buffer 40 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x454++0x03 line.long 0x00 "MB61_8B_ID,Message Buffer 61 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x458++0x03 line.long 0x00 "MB13_64B_WORD10,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x458++0x03 line.long 0x00 "MB24_32B_WORD4,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x458++0x03 line.long 0x00 "MB41_16B_CS,Message Buffer 41 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x458++0x03 line.long 0x00 "MB61_8B_WORD0,Message Buffer 61 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x458++0x03 line.long 0x00 "WORD061,Message Buffer 61 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x45C++0x03 line.long 0x00 "MB13_64B_WORD11,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x45C++0x03 line.long 0x00 "MB24_32B_WORD5,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x45C++0x03 line.long 0x00 "MB41_16B_ID,Message Buffer 41 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x45C++0x03 line.long 0x00 "MB61_8B_WORD1,Message Buffer 61 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x45C++0x03 line.long 0x00 "WORD161,Message Buffer 61 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x460++0x03 line.long 0x00 "CS62,Message Buffer 62 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x460++0x03 line.long 0x00 "MB13_64B_WORD12,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x460++0x03 line.long 0x00 "MB24_32B_WORD6,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x460++0x03 line.long 0x00 "MB41_16B_WORD0,Message Buffer 41 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x460++0x03 line.long 0x00 "MB62_8B_CS,Message Buffer 62 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x464++0x03 line.long 0x00 "ID62,Message Buffer 62 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x464++0x03 line.long 0x00 "MB13_64B_WORD13,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x464++0x03 line.long 0x00 "MB24_32B_WORD7,Message Buffer 24 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x464++0x03 line.long 0x00 "MB41_16B_WORD1,Message Buffer 41 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x464++0x03 line.long 0x00 "MB62_8B_ID,Message Buffer 62 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x468++0x03 line.long 0x00 "MB13_64B_WORD14,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x468++0x03 line.long 0x00 "MB25_32B_CS,Message Buffer 25 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x468++0x03 line.long 0x00 "MB41_16B_WORD2,Message Buffer 41 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x468++0x03 line.long 0x00 "MB62_8B_WORD0,Message Buffer 62 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x468++0x03 line.long 0x00 "WORD062,Message Buffer 62 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x46C++0x03 line.long 0x00 "MB13_64B_WORD15,Message Buffer 13 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x46C++0x03 line.long 0x00 "MB25_32B_ID,Message Buffer 25 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x46C++0x03 line.long 0x00 "MB41_16B_WORD3,Message Buffer 41 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x46C++0x03 line.long 0x00 "MB62_8B_WORD1,Message Buffer 62 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x46C++0x03 line.long 0x00 "WORD162,Message Buffer 62 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x470++0x03 line.long 0x00 "CS63,Message Buffer 63 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x470++0x03 line.long 0x00 "MB14_64B_CS,Message Buffer 14 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x470++0x03 line.long 0x00 "MB25_32B_WORD0,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x470++0x03 line.long 0x00 "MB42_16B_CS,Message Buffer 42 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x470++0x03 line.long 0x00 "MB63_8B_CS,Message Buffer 63 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x474++0x03 line.long 0x00 "ID63,Message Buffer 63 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x474++0x03 line.long 0x00 "MB14_64B_ID,Message Buffer 14 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x474++0x03 line.long 0x00 "MB25_32B_WORD1,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x474++0x03 line.long 0x00 "MB42_16B_ID,Message Buffer 42 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x474++0x03 line.long 0x00 "MB63_8B_ID,Message Buffer 63 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x478++0x03 line.long 0x00 "MB14_64B_WORD0,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x478++0x03 line.long 0x00 "MB25_32B_WORD2,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x478++0x03 line.long 0x00 "MB42_16B_WORD0,Message Buffer 42 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x478++0x03 line.long 0x00 "MB63_8B_WORD0,Message Buffer 63 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x478++0x03 line.long 0x00 "WORD063,Message Buffer 63 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x47C++0x03 line.long 0x00 "MB14_64B_WORD1,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x47C++0x03 line.long 0x00 "MB25_32B_WORD3,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x47C++0x03 line.long 0x00 "MB42_16B_WORD1,Message Buffer 42 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x47C++0x03 line.long 0x00 "MB63_8B_WORD1,Message Buffer 63 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x47C++0x03 line.long 0x00 "WORD163,Message Buffer 63 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x480++0x03 line.long 0x00 "CS64,Message Buffer 64 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x480++0x03 line.long 0x00 "MB14_64B_WORD2,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x480++0x03 line.long 0x00 "MB25_32B_WORD4,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x480++0x03 line.long 0x00 "MB42_16B_WORD2,Message Buffer 42 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x480++0x03 line.long 0x00 "MB64_8B_CS,Message Buffer 64 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x484++0x03 line.long 0x00 "ID64,Message Buffer 64 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x484++0x03 line.long 0x00 "MB14_64B_WORD3,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x484++0x03 line.long 0x00 "MB25_32B_WORD5,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x484++0x03 line.long 0x00 "MB42_16B_WORD3,Message Buffer 42 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x484++0x03 line.long 0x00 "MB64_8B_ID,Message Buffer 64 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x488++0x03 line.long 0x00 "MB14_64B_WORD4,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x488++0x03 line.long 0x00 "MB25_32B_WORD6,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x488++0x03 line.long 0x00 "MB43_16B_CS,Message Buffer 43 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x488++0x03 line.long 0x00 "MB64_8B_WORD0,Message Buffer 64 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x488++0x03 line.long 0x00 "WORD064,Message Buffer 64 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x48C++0x03 line.long 0x00 "MB14_64B_WORD5,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x48C++0x03 line.long 0x00 "MB25_32B_WORD7,Message Buffer 25 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x48C++0x03 line.long 0x00 "MB43_16B_ID,Message Buffer 43 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x48C++0x03 line.long 0x00 "MB64_8B_WORD1,Message Buffer 64 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x48C++0x03 line.long 0x00 "WORD164,Message Buffer 64 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x490++0x03 line.long 0x00 "CS65,Message Buffer 65 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x490++0x03 line.long 0x00 "MB14_64B_WORD6,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x490++0x03 line.long 0x00 "MB26_32B_CS,Message Buffer 26 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x490++0x03 line.long 0x00 "MB43_16B_WORD0,Message Buffer 43 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x490++0x03 line.long 0x00 "MB65_8B_CS,Message Buffer 65 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x494++0x03 line.long 0x00 "ID65,Message Buffer 65 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x494++0x03 line.long 0x00 "MB14_64B_WORD7,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x494++0x03 line.long 0x00 "MB26_32B_ID,Message Buffer 26 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x494++0x03 line.long 0x00 "MB43_16B_WORD1,Message Buffer 43 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x494++0x03 line.long 0x00 "MB65_8B_ID,Message Buffer 65 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x498++0x03 line.long 0x00 "MB14_64B_WORD8,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x498++0x03 line.long 0x00 "MB26_32B_WORD0,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x498++0x03 line.long 0x00 "MB43_16B_WORD2,Message Buffer 43 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x498++0x03 line.long 0x00 "MB65_8B_WORD0,Message Buffer 65 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x498++0x03 line.long 0x00 "WORD065,Message Buffer 65 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x49C++0x03 line.long 0x00 "MB14_64B_WORD9,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x49C++0x03 line.long 0x00 "MB26_32B_WORD1,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x49C++0x03 line.long 0x00 "MB43_16B_WORD3,Message Buffer 43 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x49C++0x03 line.long 0x00 "MB65_8B_WORD1,Message Buffer 65 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x49C++0x03 line.long 0x00 "WORD165,Message Buffer 65 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4A0++0x03 line.long 0x00 "CS66,Message Buffer 66 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4A0++0x03 line.long 0x00 "MB14_64B_WORD10,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x4A0++0x03 line.long 0x00 "MB26_32B_WORD2,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4A0++0x03 line.long 0x00 "MB44_16B_CS,Message Buffer 44 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4A0++0x03 line.long 0x00 "MB66_8B_CS,Message Buffer 66 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4A4++0x03 line.long 0x00 "ID66,Message Buffer 66 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4A4++0x03 line.long 0x00 "MB14_64B_WORD11,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x4A4++0x03 line.long 0x00 "MB26_32B_WORD3,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4A4++0x03 line.long 0x00 "MB44_16B_ID,Message Buffer 44 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4A4++0x03 line.long 0x00 "MB66_8B_ID,Message Buffer 66 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4A8++0x03 line.long 0x00 "MB14_64B_WORD12,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x4A8++0x03 line.long 0x00 "MB26_32B_WORD4,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x4A8++0x03 line.long 0x00 "MB44_16B_WORD0,Message Buffer 44 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4A8++0x03 line.long 0x00 "MB66_8B_WORD0,Message Buffer 66 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4A8++0x03 line.long 0x00 "WORD066,Message Buffer 66 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4AC++0x03 line.long 0x00 "MB14_64B_WORD13,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x4AC++0x03 line.long 0x00 "MB26_32B_WORD5,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x4AC++0x03 line.long 0x00 "MB44_16B_WORD1,Message Buffer 44 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4AC++0x03 line.long 0x00 "MB66_8B_WORD1,Message Buffer 66 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4AC++0x03 line.long 0x00 "WORD166,Message Buffer 66 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4B0++0x03 line.long 0x00 "CS67,Message Buffer 67 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4B0++0x03 line.long 0x00 "MB14_64B_WORD14,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x4B0++0x03 line.long 0x00 "MB26_32B_WORD6,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x4B0++0x03 line.long 0x00 "MB44_16B_WORD2,Message Buffer 44 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4B0++0x03 line.long 0x00 "MB67_8B_CS,Message Buffer 67 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4B4++0x03 line.long 0x00 "ID67,Message Buffer 67 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4B4++0x03 line.long 0x00 "MB14_64B_WORD15,Message Buffer 14 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x4B4++0x03 line.long 0x00 "MB26_32B_WORD7,Message Buffer 26 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x4B4++0x03 line.long 0x00 "MB44_16B_WORD3,Message Buffer 44 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4B4++0x03 line.long 0x00 "MB67_8B_ID,Message Buffer 67 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4B8++0x03 line.long 0x00 "MB15_64B_CS,Message Buffer 15 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4B8++0x03 line.long 0x00 "MB27_32B_CS,Message Buffer 27 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4B8++0x03 line.long 0x00 "MB45_16B_CS,Message Buffer 45 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4B8++0x03 line.long 0x00 "MB67_8B_WORD0,Message Buffer 67 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4B8++0x03 line.long 0x00 "WORD067,Message Buffer 67 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4BC++0x03 line.long 0x00 "MB15_64B_ID,Message Buffer 15 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4BC++0x03 line.long 0x00 "MB27_32B_ID,Message Buffer 27 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4BC++0x03 line.long 0x00 "MB45_16B_ID,Message Buffer 45 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4BC++0x03 line.long 0x00 "MB67_8B_WORD1,Message Buffer 67 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4BC++0x03 line.long 0x00 "WORD167,Message Buffer 67 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4C0++0x03 line.long 0x00 "CS68,Message Buffer 68 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4C0++0x03 line.long 0x00 "MB15_64B_WORD0,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4C0++0x03 line.long 0x00 "MB27_32B_WORD0,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4C0++0x03 line.long 0x00 "MB45_16B_WORD0,Message Buffer 45 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4C0++0x03 line.long 0x00 "MB68_8B_CS,Message Buffer 68 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4C4++0x03 line.long 0x00 "ID68,Message Buffer 68 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4C4++0x03 line.long 0x00 "MB15_64B_WORD1,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4C4++0x03 line.long 0x00 "MB27_32B_WORD1,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4C4++0x03 line.long 0x00 "MB45_16B_WORD1,Message Buffer 45 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4C4++0x03 line.long 0x00 "MB68_8B_ID,Message Buffer 68 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4C8++0x03 line.long 0x00 "MB15_64B_WORD2,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4C8++0x03 line.long 0x00 "MB27_32B_WORD2,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4C8++0x03 line.long 0x00 "MB45_16B_WORD2,Message Buffer 45 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4C8++0x03 line.long 0x00 "MB68_8B_WORD0,Message Buffer 68 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4C8++0x03 line.long 0x00 "WORD068,Message Buffer 68 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4CC++0x03 line.long 0x00 "MB15_64B_WORD3,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4CC++0x03 line.long 0x00 "MB27_32B_WORD3,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4CC++0x03 line.long 0x00 "MB45_16B_WORD3,Message Buffer 45 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4CC++0x03 line.long 0x00 "MB68_8B_WORD1,Message Buffer 68 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4CC++0x03 line.long 0x00 "WORD168,Message Buffer 68 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4D0++0x03 line.long 0x00 "CS69,Message Buffer 69 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4D0++0x03 line.long 0x00 "MB15_64B_WORD4,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x4D0++0x03 line.long 0x00 "MB27_32B_WORD4,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x4D0++0x03 line.long 0x00 "MB46_16B_CS,Message Buffer 46 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4D0++0x03 line.long 0x00 "MB69_8B_CS,Message Buffer 69 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4D4++0x03 line.long 0x00 "ID69,Message Buffer 69 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4D4++0x03 line.long 0x00 "MB15_64B_WORD5,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x4D4++0x03 line.long 0x00 "MB27_32B_WORD5,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x4D4++0x03 line.long 0x00 "MB46_16B_ID,Message Buffer 46 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4D4++0x03 line.long 0x00 "MB69_8B_ID,Message Buffer 69 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4D8++0x03 line.long 0x00 "MB15_64B_WORD6,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x4D8++0x03 line.long 0x00 "MB27_32B_WORD6,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x4D8++0x03 line.long 0x00 "MB46_16B_WORD0,Message Buffer 46 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4D8++0x03 line.long 0x00 "MB69_8B_WORD0,Message Buffer 69 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4D8++0x03 line.long 0x00 "WORD069,Message Buffer 69 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4DC++0x03 line.long 0x00 "MB15_64B_WORD7,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x4DC++0x03 line.long 0x00 "MB27_32B_WORD7,Message Buffer 27 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x4DC++0x03 line.long 0x00 "MB46_16B_WORD1,Message Buffer 46 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4DC++0x03 line.long 0x00 "MB69_8B_WORD1,Message Buffer 69 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4DC++0x03 line.long 0x00 "WORD169,Message Buffer 69 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4E0++0x03 line.long 0x00 "CS70,Message Buffer 70 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4E0++0x03 line.long 0x00 "MB15_64B_WORD8,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x4E0++0x03 line.long 0x00 "MB28_32B_CS,Message Buffer 28 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4E0++0x03 line.long 0x00 "MB46_16B_WORD2,Message Buffer 46 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4E0++0x03 line.long 0x00 "MB70_8B_CS,Message Buffer 70 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4E4++0x03 line.long 0x00 "ID70,Message Buffer 70 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4E4++0x03 line.long 0x00 "MB15_64B_WORD9,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x4E4++0x03 line.long 0x00 "MB28_32B_ID,Message Buffer 28 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4E4++0x03 line.long 0x00 "MB46_16B_WORD3,Message Buffer 46 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4E4++0x03 line.long 0x00 "MB70_8B_ID,Message Buffer 70 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4E8++0x03 line.long 0x00 "MB15_64B_WORD10,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x4E8++0x03 line.long 0x00 "MB28_32B_WORD0,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4E8++0x03 line.long 0x00 "MB47_16B_CS,Message Buffer 47 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4E8++0x03 line.long 0x00 "MB70_8B_WORD0,Message Buffer 70 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4E8++0x03 line.long 0x00 "WORD070,Message Buffer 70 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4EC++0x03 line.long 0x00 "MB15_64B_WORD11,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x4EC++0x03 line.long 0x00 "MB28_32B_WORD1,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4EC++0x03 line.long 0x00 "MB47_16B_ID,Message Buffer 47 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4EC++0x03 line.long 0x00 "MB70_8B_WORD1,Message Buffer 70 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4EC++0x03 line.long 0x00 "WORD170,Message Buffer 70 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4F0++0x03 line.long 0x00 "CS71,Message Buffer 71 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4F0++0x03 line.long 0x00 "MB15_64B_WORD12,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x4F0++0x03 line.long 0x00 "MB28_32B_WORD2,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4F0++0x03 line.long 0x00 "MB47_16B_WORD0,Message Buffer 47 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4F0++0x03 line.long 0x00 "MB71_8B_CS,Message Buffer 71 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x4F4++0x03 line.long 0x00 "ID71,Message Buffer 71 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4F4++0x03 line.long 0x00 "MB15_64B_WORD13,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x4F4++0x03 line.long 0x00 "MB28_32B_WORD3,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4F4++0x03 line.long 0x00 "MB47_16B_WORD1,Message Buffer 47 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4F4++0x03 line.long 0x00 "MB71_8B_ID,Message Buffer 71 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x4F8++0x03 line.long 0x00 "MB15_64B_WORD14,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x4F8++0x03 line.long 0x00 "MB28_32B_WORD4,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x4F8++0x03 line.long 0x00 "MB47_16B_WORD2,Message Buffer 47 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x4F8++0x03 line.long 0x00 "MB71_8B_WORD0,Message Buffer 71 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4F8++0x03 line.long 0x00 "WORD071,Message Buffer 71 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x4FC++0x03 line.long 0x00 "MB15_64B_WORD15,Message Buffer 15 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x4FC++0x03 line.long 0x00 "MB28_32B_WORD5,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x4FC++0x03 line.long 0x00 "MB47_16B_WORD3,Message Buffer 47 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x4FC++0x03 line.long 0x00 "MB71_8B_WORD1,Message Buffer 71 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x4FC++0x03 line.long 0x00 "WORD171,Message Buffer 71 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x500++0x03 line.long 0x00 "CS72,Message Buffer 72 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x500++0x03 line.long 0x00 "MB16_64B_CS,Message Buffer 16 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x500++0x03 line.long 0x00 "MB28_32B_WORD6,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x500++0x03 line.long 0x00 "MB48_16B_CS,Message Buffer 48 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x500++0x03 line.long 0x00 "MB72_8B_CS,Message Buffer 72 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x504++0x03 line.long 0x00 "ID72,Message Buffer 72 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x504++0x03 line.long 0x00 "MB16_64B_ID,Message Buffer 16 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x504++0x03 line.long 0x00 "MB28_32B_WORD7,Message Buffer 28 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x504++0x03 line.long 0x00 "MB48_16B_ID,Message Buffer 48 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x504++0x03 line.long 0x00 "MB72_8B_ID,Message Buffer 72 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x508++0x03 line.long 0x00 "MB16_64B_WORD0,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x508++0x03 line.long 0x00 "MB29_32B_CS,Message Buffer 29 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x508++0x03 line.long 0x00 "MB48_16B_WORD0,Message Buffer 48 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x508++0x03 line.long 0x00 "MB72_8B_WORD0,Message Buffer 72 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x508++0x03 line.long 0x00 "WORD072,Message Buffer 72 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x50C++0x03 line.long 0x00 "MB16_64B_WORD1,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x50C++0x03 line.long 0x00 "MB29_32B_ID,Message Buffer 29 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x50C++0x03 line.long 0x00 "MB48_16B_WORD1,Message Buffer 48 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x50C++0x03 line.long 0x00 "MB72_8B_WORD1,Message Buffer 72 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x50C++0x03 line.long 0x00 "WORD172,Message Buffer 72 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x510++0x03 line.long 0x00 "CS73,Message Buffer 73 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x510++0x03 line.long 0x00 "MB16_64B_WORD2,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x510++0x03 line.long 0x00 "MB29_32B_WORD0,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x510++0x03 line.long 0x00 "MB48_16B_WORD2,Message Buffer 48 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x510++0x03 line.long 0x00 "MB73_8B_CS,Message Buffer 73 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x514++0x03 line.long 0x00 "ID73,Message Buffer 73 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x514++0x03 line.long 0x00 "MB16_64B_WORD3,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x514++0x03 line.long 0x00 "MB29_32B_WORD1,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x514++0x03 line.long 0x00 "MB48_16B_WORD3,Message Buffer 48 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x514++0x03 line.long 0x00 "MB73_8B_ID,Message Buffer 73 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x518++0x03 line.long 0x00 "MB16_64B_WORD4,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x518++0x03 line.long 0x00 "MB29_32B_WORD2,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x518++0x03 line.long 0x00 "MB49_16B_CS,Message Buffer 49 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x518++0x03 line.long 0x00 "MB73_8B_WORD0,Message Buffer 73 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x518++0x03 line.long 0x00 "WORD073,Message Buffer 73 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x51C++0x03 line.long 0x00 "MB16_64B_WORD5,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x51C++0x03 line.long 0x00 "MB29_32B_WORD3,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x51C++0x03 line.long 0x00 "MB49_16B_ID,Message Buffer 49 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x51C++0x03 line.long 0x00 "MB73_8B_WORD1,Message Buffer 73 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x51C++0x03 line.long 0x00 "WORD173,Message Buffer 73 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x520++0x03 line.long 0x00 "CS74,Message Buffer 74 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x520++0x03 line.long 0x00 "MB16_64B_WORD6,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x520++0x03 line.long 0x00 "MB29_32B_WORD4,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x520++0x03 line.long 0x00 "MB49_16B_WORD0,Message Buffer 49 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x520++0x03 line.long 0x00 "MB74_8B_CS,Message Buffer 74 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x524++0x03 line.long 0x00 "ID74,Message Buffer 74 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x524++0x03 line.long 0x00 "MB16_64B_WORD7,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x524++0x03 line.long 0x00 "MB29_32B_WORD5,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x524++0x03 line.long 0x00 "MB49_16B_WORD1,Message Buffer 49 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x524++0x03 line.long 0x00 "MB74_8B_ID,Message Buffer 74 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x528++0x03 line.long 0x00 "MB16_64B_WORD8,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x528++0x03 line.long 0x00 "MB29_32B_WORD6,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x528++0x03 line.long 0x00 "MB49_16B_WORD2,Message Buffer 49 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x528++0x03 line.long 0x00 "MB74_8B_WORD0,Message Buffer 74 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x528++0x03 line.long 0x00 "WORD074,Message Buffer 74 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x52C++0x03 line.long 0x00 "MB16_64B_WORD9,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x52C++0x03 line.long 0x00 "MB29_32B_WORD7,Message Buffer 29 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x52C++0x03 line.long 0x00 "MB49_16B_WORD3,Message Buffer 49 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x52C++0x03 line.long 0x00 "MB74_8B_WORD1,Message Buffer 74 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x52C++0x03 line.long 0x00 "WORD174,Message Buffer 74 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x530++0x03 line.long 0x00 "CS75,Message Buffer 75 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x530++0x03 line.long 0x00 "MB16_64B_WORD10,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x530++0x03 line.long 0x00 "MB30_32B_CS,Message Buffer 30 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x530++0x03 line.long 0x00 "MB50_16B_CS,Message Buffer 50 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x530++0x03 line.long 0x00 "MB75_8B_CS,Message Buffer 75 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x534++0x03 line.long 0x00 "ID75,Message Buffer 75 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x534++0x03 line.long 0x00 "MB16_64B_WORD11,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x534++0x03 line.long 0x00 "MB30_32B_ID,Message Buffer 30 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x534++0x03 line.long 0x00 "MB50_16B_ID,Message Buffer 50 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x534++0x03 line.long 0x00 "MB75_8B_ID,Message Buffer 75 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x538++0x03 line.long 0x00 "MB16_64B_WORD12,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x538++0x03 line.long 0x00 "MB30_32B_WORD0,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x538++0x03 line.long 0x00 "MB50_16B_WORD0,Message Buffer 50 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x538++0x03 line.long 0x00 "MB75_8B_WORD0,Message Buffer 75 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x538++0x03 line.long 0x00 "WORD075,Message Buffer 75 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x53C++0x03 line.long 0x00 "MB16_64B_WORD13,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x53C++0x03 line.long 0x00 "MB30_32B_WORD1,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x53C++0x03 line.long 0x00 "MB50_16B_WORD1,Message Buffer 50 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x53C++0x03 line.long 0x00 "MB75_8B_WORD1,Message Buffer 75 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x53C++0x03 line.long 0x00 "WORD175,Message Buffer 75 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x540++0x03 line.long 0x00 "CS76,Message Buffer 76 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x540++0x03 line.long 0x00 "MB16_64B_WORD14,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x540++0x03 line.long 0x00 "MB30_32B_WORD2,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x540++0x03 line.long 0x00 "MB50_16B_WORD2,Message Buffer 50 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x540++0x03 line.long 0x00 "MB76_8B_CS,Message Buffer 76 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x544++0x03 line.long 0x00 "ID76,Message Buffer 76 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x544++0x03 line.long 0x00 "MB16_64B_WORD15,Message Buffer 16 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x544++0x03 line.long 0x00 "MB30_32B_WORD3,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x544++0x03 line.long 0x00 "MB50_16B_WORD3,Message Buffer 50 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x544++0x03 line.long 0x00 "MB76_8B_ID,Message Buffer 76 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x548++0x03 line.long 0x00 "MB17_64B_CS,Message Buffer 17 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x548++0x03 line.long 0x00 "MB30_32B_WORD4,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x548++0x03 line.long 0x00 "MB51_16B_CS,Message Buffer 51 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x548++0x03 line.long 0x00 "MB76_8B_WORD0,Message Buffer 76 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x548++0x03 line.long 0x00 "WORD076,Message Buffer 76 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x54C++0x03 line.long 0x00 "MB17_64B_ID,Message Buffer 17 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x54C++0x03 line.long 0x00 "MB30_32B_WORD5,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x54C++0x03 line.long 0x00 "MB51_16B_ID,Message Buffer 51 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x54C++0x03 line.long 0x00 "MB76_8B_WORD1,Message Buffer 76 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x54C++0x03 line.long 0x00 "WORD176,Message Buffer 76 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x550++0x03 line.long 0x00 "CS77,Message Buffer 77 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x550++0x03 line.long 0x00 "MB17_64B_WORD0,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x550++0x03 line.long 0x00 "MB30_32B_WORD6,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x550++0x03 line.long 0x00 "MB51_16B_WORD0,Message Buffer 51 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x550++0x03 line.long 0x00 "MB77_8B_CS,Message Buffer 77 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x554++0x03 line.long 0x00 "ID77,Message Buffer 77 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x554++0x03 line.long 0x00 "MB17_64B_WORD1,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x554++0x03 line.long 0x00 "MB30_32B_WORD7,Message Buffer 30 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x554++0x03 line.long 0x00 "MB51_16B_WORD1,Message Buffer 51 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x554++0x03 line.long 0x00 "MB77_8B_ID,Message Buffer 77 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x558++0x03 line.long 0x00 "MB17_64B_WORD2,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x558++0x03 line.long 0x00 "MB31_32B_CS,Message Buffer 31 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x558++0x03 line.long 0x00 "MB51_16B_WORD2,Message Buffer 51 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x558++0x03 line.long 0x00 "MB77_8B_WORD0,Message Buffer 77 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x558++0x03 line.long 0x00 "WORD077,Message Buffer 77 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x55C++0x03 line.long 0x00 "MB17_64B_WORD3,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x55C++0x03 line.long 0x00 "MB31_32B_ID,Message Buffer 31 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x55C++0x03 line.long 0x00 "MB51_16B_WORD3,Message Buffer 51 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x55C++0x03 line.long 0x00 "MB77_8B_WORD1,Message Buffer 77 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x55C++0x03 line.long 0x00 "WORD177,Message Buffer 77 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x560++0x03 line.long 0x00 "CS78,Message Buffer 78 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x560++0x03 line.long 0x00 "MB17_64B_WORD4,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x560++0x03 line.long 0x00 "MB31_32B_WORD0,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x560++0x03 line.long 0x00 "MB52_16B_CS,Message Buffer 52 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x560++0x03 line.long 0x00 "MB78_8B_CS,Message Buffer 78 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x564++0x03 line.long 0x00 "ID78,Message Buffer 78 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x564++0x03 line.long 0x00 "MB17_64B_WORD5,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x564++0x03 line.long 0x00 "MB31_32B_WORD1,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x564++0x03 line.long 0x00 "MB52_16B_ID,Message Buffer 52 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x564++0x03 line.long 0x00 "MB78_8B_ID,Message Buffer 78 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x568++0x03 line.long 0x00 "MB17_64B_WORD6,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x568++0x03 line.long 0x00 "MB31_32B_WORD2,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x568++0x03 line.long 0x00 "MB52_16B_WORD0,Message Buffer 52 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x568++0x03 line.long 0x00 "MB78_8B_WORD0,Message Buffer 78 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x568++0x03 line.long 0x00 "WORD078,Message Buffer 78 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x56C++0x03 line.long 0x00 "MB17_64B_WORD7,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x56C++0x03 line.long 0x00 "MB31_32B_WORD3,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x56C++0x03 line.long 0x00 "MB52_16B_WORD1,Message Buffer 52 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x56C++0x03 line.long 0x00 "MB78_8B_WORD1,Message Buffer 78 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x56C++0x03 line.long 0x00 "WORD178,Message Buffer 78 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x570++0x03 line.long 0x00 "CS79,Message Buffer 79 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x570++0x03 line.long 0x00 "MB17_64B_WORD8,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x570++0x03 line.long 0x00 "MB31_32B_WORD4,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x570++0x03 line.long 0x00 "MB52_16B_WORD2,Message Buffer 52 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x570++0x03 line.long 0x00 "MB79_8B_CS,Message Buffer 79 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x574++0x03 line.long 0x00 "ID79,Message Buffer 79 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x574++0x03 line.long 0x00 "MB17_64B_WORD9,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x574++0x03 line.long 0x00 "MB31_32B_WORD5,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x574++0x03 line.long 0x00 "MB52_16B_WORD3,Message Buffer 52 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x574++0x03 line.long 0x00 "MB79_8B_ID,Message Buffer 79 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x578++0x03 line.long 0x00 "MB17_64B_WORD10,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x578++0x03 line.long 0x00 "MB31_32B_WORD6,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x578++0x03 line.long 0x00 "MB53_16B_CS,Message Buffer 53 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x578++0x03 line.long 0x00 "MB79_8B_WORD0,Message Buffer 79 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x578++0x03 line.long 0x00 "WORD079,Message Buffer 79 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x57C++0x03 line.long 0x00 "MB17_64B_WORD11,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x57C++0x03 line.long 0x00 "MB31_32B_WORD7,Message Buffer 31 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x57C++0x03 line.long 0x00 "MB53_16B_ID,Message Buffer 53 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x57C++0x03 line.long 0x00 "MB79_8B_WORD1,Message Buffer 79 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x57C++0x03 line.long 0x00 "WORD179,Message Buffer 79 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x580++0x03 line.long 0x00 "CS80,Message Buffer 80 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x580++0x03 line.long 0x00 "MB17_64B_WORD12,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x580++0x03 line.long 0x00 "MB32_32B_CS,Message Buffer 32 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x580++0x03 line.long 0x00 "MB53_16B_WORD0,Message Buffer 53 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x580++0x03 line.long 0x00 "MB80_8B_CS,Message Buffer 80 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x584++0x03 line.long 0x00 "ID80,Message Buffer 80 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x584++0x03 line.long 0x00 "MB17_64B_WORD13,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x584++0x03 line.long 0x00 "MB32_32B_ID,Message Buffer 32 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x584++0x03 line.long 0x00 "MB53_16B_WORD1,Message Buffer 53 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x584++0x03 line.long 0x00 "MB80_8B_ID,Message Buffer 80 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x588++0x03 line.long 0x00 "MB17_64B_WORD14,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x588++0x03 line.long 0x00 "MB32_32B_WORD0,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x588++0x03 line.long 0x00 "MB53_16B_WORD2,Message Buffer 53 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x588++0x03 line.long 0x00 "MB80_8B_WORD0,Message Buffer 80 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x588++0x03 line.long 0x00 "WORD080,Message Buffer 80 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x58C++0x03 line.long 0x00 "MB17_64B_WORD15,Message Buffer 17 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x58C++0x03 line.long 0x00 "MB32_32B_WORD1,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x58C++0x03 line.long 0x00 "MB53_16B_WORD3,Message Buffer 53 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x58C++0x03 line.long 0x00 "MB80_8B_WORD1,Message Buffer 80 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x58C++0x03 line.long 0x00 "WORD180,Message Buffer 80 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x590++0x03 line.long 0x00 "CS81,Message Buffer 81 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x590++0x03 line.long 0x00 "MB18_64B_CS,Message Buffer 18 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x590++0x03 line.long 0x00 "MB32_32B_WORD2,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x590++0x03 line.long 0x00 "MB54_16B_CS,Message Buffer 54 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x590++0x03 line.long 0x00 "MB81_8B_CS,Message Buffer 81 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x594++0x03 line.long 0x00 "ID81,Message Buffer 81 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x594++0x03 line.long 0x00 "MB18_64B_ID,Message Buffer 18 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x594++0x03 line.long 0x00 "MB32_32B_WORD3,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x594++0x03 line.long 0x00 "MB54_16B_ID,Message Buffer 54 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x594++0x03 line.long 0x00 "MB81_8B_ID,Message Buffer 81 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x598++0x03 line.long 0x00 "MB18_64B_WORD0,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x598++0x03 line.long 0x00 "MB32_32B_WORD4,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x598++0x03 line.long 0x00 "MB54_16B_WORD0,Message Buffer 54 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x598++0x03 line.long 0x00 "MB81_8B_WORD0,Message Buffer 81 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x598++0x03 line.long 0x00 "WORD081,Message Buffer 81 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x59C++0x03 line.long 0x00 "MB18_64B_WORD1,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x59C++0x03 line.long 0x00 "MB32_32B_WORD5,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x59C++0x03 line.long 0x00 "MB54_16B_WORD1,Message Buffer 54 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x59C++0x03 line.long 0x00 "MB81_8B_WORD1,Message Buffer 81 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x59C++0x03 line.long 0x00 "WORD181,Message Buffer 81 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5A0++0x03 line.long 0x00 "CS82,Message Buffer 82 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5A0++0x03 line.long 0x00 "MB18_64B_WORD2,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5A0++0x03 line.long 0x00 "MB32_32B_WORD6,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x5A0++0x03 line.long 0x00 "MB54_16B_WORD2,Message Buffer 54 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5A0++0x03 line.long 0x00 "MB82_8B_CS,Message Buffer 82 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5A4++0x03 line.long 0x00 "ID82,Message Buffer 82 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5A4++0x03 line.long 0x00 "MB18_64B_WORD3,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5A4++0x03 line.long 0x00 "MB32_32B_WORD7,Message Buffer 32 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x5A4++0x03 line.long 0x00 "MB54_16B_WORD3,Message Buffer 54 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5A4++0x03 line.long 0x00 "MB82_8B_ID,Message Buffer 82 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5A8++0x03 line.long 0x00 "MB18_64B_WORD4,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x5A8++0x03 line.long 0x00 "MB33_32B_CS,Message Buffer 33 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5A8++0x03 line.long 0x00 "MB55_16B_CS,Message Buffer 55 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5A8++0x03 line.long 0x00 "MB82_8B_WORD0,Message Buffer 82 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5A8++0x03 line.long 0x00 "WORD082,Message Buffer 82 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5AC++0x03 line.long 0x00 "MB18_64B_WORD5,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x5AC++0x03 line.long 0x00 "MB33_32B_ID,Message Buffer 33 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5AC++0x03 line.long 0x00 "MB55_16B_ID,Message Buffer 55 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5AC++0x03 line.long 0x00 "MB82_8B_WORD1,Message Buffer 82 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5AC++0x03 line.long 0x00 "WORD182,Message Buffer 82 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5B0++0x03 line.long 0x00 "CS83,Message Buffer 83 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5B0++0x03 line.long 0x00 "MB18_64B_WORD6,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x5B0++0x03 line.long 0x00 "MB33_32B_WORD0,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5B0++0x03 line.long 0x00 "MB55_16B_WORD0,Message Buffer 55 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5B0++0x03 line.long 0x00 "MB83_8B_CS,Message Buffer 83 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5B4++0x03 line.long 0x00 "ID83,Message Buffer 83 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5B4++0x03 line.long 0x00 "MB18_64B_WORD7,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x5B4++0x03 line.long 0x00 "MB33_32B_WORD1,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5B4++0x03 line.long 0x00 "MB55_16B_WORD1,Message Buffer 55 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5B4++0x03 line.long 0x00 "MB83_8B_ID,Message Buffer 83 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5B8++0x03 line.long 0x00 "MB18_64B_WORD8,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x5B8++0x03 line.long 0x00 "MB33_32B_WORD2,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5B8++0x03 line.long 0x00 "MB55_16B_WORD2,Message Buffer 55 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5B8++0x03 line.long 0x00 "MB83_8B_WORD0,Message Buffer 83 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5B8++0x03 line.long 0x00 "WORD083,Message Buffer 83 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5BC++0x03 line.long 0x00 "MB18_64B_WORD9,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x5BC++0x03 line.long 0x00 "MB33_32B_WORD3,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5BC++0x03 line.long 0x00 "MB55_16B_WORD3,Message Buffer 55 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5BC++0x03 line.long 0x00 "MB83_8B_WORD1,Message Buffer 83 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5BC++0x03 line.long 0x00 "WORD183,Message Buffer 83 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5C0++0x03 line.long 0x00 "CS84,Message Buffer 84 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5C0++0x03 line.long 0x00 "MB18_64B_WORD10,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x5C0++0x03 line.long 0x00 "MB33_32B_WORD4,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x5C0++0x03 line.long 0x00 "MB56_16B_CS,Message Buffer 56 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5C0++0x03 line.long 0x00 "MB84_8B_CS,Message Buffer 84 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5C4++0x03 line.long 0x00 "ID84,Message Buffer 84 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5C4++0x03 line.long 0x00 "MB18_64B_WORD11,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x5C4++0x03 line.long 0x00 "MB33_32B_WORD5,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x5C4++0x03 line.long 0x00 "MB56_16B_ID,Message Buffer 56 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5C4++0x03 line.long 0x00 "MB84_8B_ID,Message Buffer 84 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5C8++0x03 line.long 0x00 "MB18_64B_WORD12,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x5C8++0x03 line.long 0x00 "MB33_32B_WORD6,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x5C8++0x03 line.long 0x00 "MB56_16B_WORD0,Message Buffer 56 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5C8++0x03 line.long 0x00 "MB84_8B_WORD0,Message Buffer 84 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5C8++0x03 line.long 0x00 "WORD084,Message Buffer 84 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5CC++0x03 line.long 0x00 "MB18_64B_WORD13,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x5CC++0x03 line.long 0x00 "MB33_32B_WORD7,Message Buffer 33 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x5CC++0x03 line.long 0x00 "MB56_16B_WORD1,Message Buffer 56 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5CC++0x03 line.long 0x00 "MB84_8B_WORD1,Message Buffer 84 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5CC++0x03 line.long 0x00 "WORD184,Message Buffer 84 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5D0++0x03 line.long 0x00 "CS85,Message Buffer 85 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5D0++0x03 line.long 0x00 "MB18_64B_WORD14,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x5D0++0x03 line.long 0x00 "MB34_32B_CS,Message Buffer 34 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5D0++0x03 line.long 0x00 "MB56_16B_WORD2,Message Buffer 56 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5D0++0x03 line.long 0x00 "MB85_8B_CS,Message Buffer 85 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5D4++0x03 line.long 0x00 "ID85,Message Buffer 85 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5D4++0x03 line.long 0x00 "MB18_64B_WORD15,Message Buffer 18 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x5D4++0x03 line.long 0x00 "MB34_32B_ID,Message Buffer 34 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5D4++0x03 line.long 0x00 "MB56_16B_WORD3,Message Buffer 56 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5D4++0x03 line.long 0x00 "MB85_8B_ID,Message Buffer 85 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5D8++0x03 line.long 0x00 "MB19_64B_CS,Message Buffer 19 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5D8++0x03 line.long 0x00 "MB34_32B_WORD0,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5D8++0x03 line.long 0x00 "MB57_16B_CS,Message Buffer 57 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5D8++0x03 line.long 0x00 "MB85_8B_WORD0,Message Buffer 85 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5D8++0x03 line.long 0x00 "WORD085,Message Buffer 85 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5DC++0x03 line.long 0x00 "MB19_64B_ID,Message Buffer 19 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5DC++0x03 line.long 0x00 "MB34_32B_WORD1,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5DC++0x03 line.long 0x00 "MB57_16B_ID,Message Buffer 57 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5DC++0x03 line.long 0x00 "MB85_8B_WORD1,Message Buffer 85 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5DC++0x03 line.long 0x00 "WORD185,Message Buffer 85 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5E0++0x03 line.long 0x00 "CS86,Message Buffer 86 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5E0++0x03 line.long 0x00 "MB19_64B_WORD0,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5E0++0x03 line.long 0x00 "MB34_32B_WORD2,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5E0++0x03 line.long 0x00 "MB57_16B_WORD0,Message Buffer 57 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5E0++0x03 line.long 0x00 "MB86_8B_CS,Message Buffer 86 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5E4++0x03 line.long 0x00 "ID86,Message Buffer 86 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5E4++0x03 line.long 0x00 "MB19_64B_WORD1,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5E4++0x03 line.long 0x00 "MB34_32B_WORD3,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5E4++0x03 line.long 0x00 "MB57_16B_WORD1,Message Buffer 57 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5E4++0x03 line.long 0x00 "MB86_8B_ID,Message Buffer 86 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5E8++0x03 line.long 0x00 "MB19_64B_WORD2,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5E8++0x03 line.long 0x00 "MB34_32B_WORD4,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x5E8++0x03 line.long 0x00 "MB57_16B_WORD2,Message Buffer 57 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x5E8++0x03 line.long 0x00 "MB86_8B_WORD0,Message Buffer 86 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5E8++0x03 line.long 0x00 "WORD086,Message Buffer 86 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5EC++0x03 line.long 0x00 "MB19_64B_WORD3,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5EC++0x03 line.long 0x00 "MB34_32B_WORD5,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x5EC++0x03 line.long 0x00 "MB57_16B_WORD3,Message Buffer 57 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x5EC++0x03 line.long 0x00 "MB86_8B_WORD1,Message Buffer 86 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5EC++0x03 line.long 0x00 "WORD186,Message Buffer 86 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5F0++0x03 line.long 0x00 "CS87,Message Buffer 87 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5F0++0x03 line.long 0x00 "MB19_64B_WORD4,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x5F0++0x03 line.long 0x00 "MB34_32B_WORD6,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x5F0++0x03 line.long 0x00 "MB58_16B_CS,Message Buffer 58 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5F0++0x03 line.long 0x00 "MB87_8B_CS,Message Buffer 87 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5F4++0x03 line.long 0x00 "ID87,Message Buffer 87 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5F4++0x03 line.long 0x00 "MB19_64B_WORD5,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x5F4++0x03 line.long 0x00 "MB34_32B_WORD7,Message Buffer 34 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x5F4++0x03 line.long 0x00 "MB58_16B_ID,Message Buffer 58 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5F4++0x03 line.long 0x00 "MB87_8B_ID,Message Buffer 87 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5F8++0x03 line.long 0x00 "MB19_64B_WORD6,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x5F8++0x03 line.long 0x00 "MB35_32B_CS,Message Buffer 35 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x5F8++0x03 line.long 0x00 "MB58_16B_WORD0,Message Buffer 58 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5F8++0x03 line.long 0x00 "MB87_8B_WORD0,Message Buffer 87 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5F8++0x03 line.long 0x00 "WORD087,Message Buffer 87 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x5FC++0x03 line.long 0x00 "MB19_64B_WORD7,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x5FC++0x03 line.long 0x00 "MB35_32B_ID,Message Buffer 35 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x5FC++0x03 line.long 0x00 "MB58_16B_WORD1,Message Buffer 58 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5FC++0x03 line.long 0x00 "MB87_8B_WORD1,Message Buffer 87 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x5FC++0x03 line.long 0x00 "WORD187,Message Buffer 87 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x600++0x03 line.long 0x00 "CS88,Message Buffer 88 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x600++0x03 line.long 0x00 "MB19_64B_WORD8,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x600++0x03 line.long 0x00 "MB35_32B_WORD0,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x600++0x03 line.long 0x00 "MB58_16B_WORD2,Message Buffer 58 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x600++0x03 line.long 0x00 "MB88_8B_CS,Message Buffer 88 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x604++0x03 line.long 0x00 "ID88,Message Buffer 88 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x604++0x03 line.long 0x00 "MB19_64B_WORD9,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x604++0x03 line.long 0x00 "MB35_32B_WORD1,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x604++0x03 line.long 0x00 "MB58_16B_WORD3,Message Buffer 58 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x604++0x03 line.long 0x00 "MB88_8B_ID,Message Buffer 88 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x608++0x03 line.long 0x00 "MB19_64B_WORD10,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x608++0x03 line.long 0x00 "MB35_32B_WORD2,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x608++0x03 line.long 0x00 "MB59_16B_CS,Message Buffer 59 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x608++0x03 line.long 0x00 "MB88_8B_WORD0,Message Buffer 88 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x608++0x03 line.long 0x00 "WORD088,Message Buffer 88 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x60C++0x03 line.long 0x00 "MB19_64B_WORD11,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x60C++0x03 line.long 0x00 "MB35_32B_WORD3,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x60C++0x03 line.long 0x00 "MB59_16B_ID,Message Buffer 59 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x60C++0x03 line.long 0x00 "MB88_8B_WORD1,Message Buffer 88 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x60C++0x03 line.long 0x00 "WORD188,Message Buffer 88 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x610++0x03 line.long 0x00 "CS89,Message Buffer 89 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x610++0x03 line.long 0x00 "MB19_64B_WORD12,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x610++0x03 line.long 0x00 "MB35_32B_WORD4,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x610++0x03 line.long 0x00 "MB59_16B_WORD0,Message Buffer 59 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x610++0x03 line.long 0x00 "MB89_8B_CS,Message Buffer 89 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x614++0x03 line.long 0x00 "ID89,Message Buffer 89 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x614++0x03 line.long 0x00 "MB19_64B_WORD13,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x614++0x03 line.long 0x00 "MB35_32B_WORD5,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x614++0x03 line.long 0x00 "MB59_16B_WORD1,Message Buffer 59 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x614++0x03 line.long 0x00 "MB89_8B_ID,Message Buffer 89 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x618++0x03 line.long 0x00 "MB19_64B_WORD14,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x618++0x03 line.long 0x00 "MB35_32B_WORD6,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x618++0x03 line.long 0x00 "MB59_16B_WORD2,Message Buffer 59 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x618++0x03 line.long 0x00 "MB89_8B_WORD0,Message Buffer 89 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x618++0x03 line.long 0x00 "WORD089,Message Buffer 89 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x61C++0x03 line.long 0x00 "MB19_64B_WORD15,Message Buffer 19 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x61C++0x03 line.long 0x00 "MB35_32B_WORD7,Message Buffer 35 WORD_32B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x61C++0x03 line.long 0x00 "MB59_16B_WORD3,Message Buffer 59 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x61C++0x03 line.long 0x00 "MB89_8B_WORD1,Message Buffer 89 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x61C++0x03 line.long 0x00 "WORD189,Message Buffer 89 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x620++0x03 line.long 0x00 "CS90,Message Buffer 90 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x620++0x03 line.long 0x00 "MB20_64B_CS,Message Buffer 20 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x620++0x03 line.long 0x00 "MB60_16B_CS,Message Buffer 60 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x620++0x03 line.long 0x00 "MB90_8B_CS,Message Buffer 90 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x624++0x03 line.long 0x00 "ID90,Message Buffer 90 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x624++0x03 line.long 0x00 "MB20_64B_ID,Message Buffer 20 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x624++0x03 line.long 0x00 "MB60_16B_ID,Message Buffer 60 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x624++0x03 line.long 0x00 "MB90_8B_ID,Message Buffer 90 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x628++0x03 line.long 0x00 "MB20_64B_WORD0,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x628++0x03 line.long 0x00 "MB60_16B_WORD0,Message Buffer 60 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x628++0x03 line.long 0x00 "MB90_8B_WORD0,Message Buffer 90 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x628++0x03 line.long 0x00 "WORD090,Message Buffer 90 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x62C++0x03 line.long 0x00 "MB20_64B_WORD1,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x62C++0x03 line.long 0x00 "MB60_16B_WORD1,Message Buffer 60 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x62C++0x03 line.long 0x00 "MB90_8B_WORD1,Message Buffer 90 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x62C++0x03 line.long 0x00 "WORD190,Message Buffer 90 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x630++0x03 line.long 0x00 "CS91,Message Buffer 91 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x630++0x03 line.long 0x00 "MB20_64B_WORD2,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x630++0x03 line.long 0x00 "MB60_16B_WORD2,Message Buffer 60 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x630++0x03 line.long 0x00 "MB91_8B_CS,Message Buffer 91 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x634++0x03 line.long 0x00 "ID91,Message Buffer 91 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x634++0x03 line.long 0x00 "MB20_64B_WORD3,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x634++0x03 line.long 0x00 "MB60_16B_WORD3,Message Buffer 60 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x634++0x03 line.long 0x00 "MB91_8B_ID,Message Buffer 91 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x638++0x03 line.long 0x00 "MB20_64B_WORD4,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_16,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_17,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_18,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_19,Data byte 0 of Rx/Tx frame" group.long 0x638++0x03 line.long 0x00 "MB61_16B_CS,Message Buffer 61 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x638++0x03 line.long 0x00 "MB91_8B_WORD0,Message Buffer 91 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x638++0x03 line.long 0x00 "WORD091,Message Buffer 91 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x63C++0x03 line.long 0x00 "MB20_64B_WORD5,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_20,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_21,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_22,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_23,Data byte 0 of Rx/Tx frame" group.long 0x63C++0x03 line.long 0x00 "MB61_16B_ID,Message Buffer 61 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x63C++0x03 line.long 0x00 "MB91_8B_WORD1,Message Buffer 91 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x63C++0x03 line.long 0x00 "WORD191,Message Buffer 91 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x640++0x03 line.long 0x00 "CS92,Message Buffer 92 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x640++0x03 line.long 0x00 "MB20_64B_WORD6,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_24,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_25,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_26,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_27,Data byte 0 of Rx/Tx frame" group.long 0x640++0x03 line.long 0x00 "MB61_16B_WORD0,Message Buffer 61 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x640++0x03 line.long 0x00 "MB92_8B_CS,Message Buffer 92 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x644++0x03 line.long 0x00 "ID92,Message Buffer 92 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x644++0x03 line.long 0x00 "MB20_64B_WORD7,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_28,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_29,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_30,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_31,Data byte 0 of Rx/Tx frame" group.long 0x644++0x03 line.long 0x00 "MB61_16B_WORD1,Message Buffer 61 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x644++0x03 line.long 0x00 "MB92_8B_ID,Message Buffer 92 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x648++0x03 line.long 0x00 "MB20_64B_WORD8,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_32,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_33,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_34,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_35,Data byte 0 of Rx/Tx frame" group.long 0x648++0x03 line.long 0x00 "MB61_16B_WORD2,Message Buffer 61 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x648++0x03 line.long 0x00 "MB92_8B_WORD0,Message Buffer 92 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x648++0x03 line.long 0x00 "WORD092,Message Buffer 92 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x64C++0x03 line.long 0x00 "MB20_64B_WORD9,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_36,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_37,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_38,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_39,Data byte 0 of Rx/Tx frame" group.long 0x64C++0x03 line.long 0x00 "MB61_16B_WORD3,Message Buffer 61 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x64C++0x03 line.long 0x00 "MB92_8B_WORD1,Message Buffer 92 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x64C++0x03 line.long 0x00 "WORD192,Message Buffer 92 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x650++0x03 line.long 0x00 "CS93,Message Buffer 93 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x650++0x03 line.long 0x00 "MB20_64B_WORD10,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_40,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_41,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_42,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_43,Data byte 0 of Rx/Tx frame" group.long 0x650++0x03 line.long 0x00 "MB62_16B_CS,Message Buffer 62 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x650++0x03 line.long 0x00 "MB93_8B_CS,Message Buffer 93 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x654++0x03 line.long 0x00 "ID93,Message Buffer 93 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x654++0x03 line.long 0x00 "MB20_64B_WORD11,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_44,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_45,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_46,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_47,Data byte 0 of Rx/Tx frame" group.long 0x654++0x03 line.long 0x00 "MB62_16B_ID,Message Buffer 62 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x654++0x03 line.long 0x00 "MB93_8B_ID,Message Buffer 93 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x658++0x03 line.long 0x00 "MB20_64B_WORD12,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_48,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_49,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_50,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_51,Data byte 0 of Rx/Tx frame" group.long 0x658++0x03 line.long 0x00 "MB62_16B_WORD0,Message Buffer 62 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x658++0x03 line.long 0x00 "MB93_8B_WORD0,Message Buffer 93 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x658++0x03 line.long 0x00 "WORD093,Message Buffer 93 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x65C++0x03 line.long 0x00 "MB20_64B_WORD13,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_52,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_53,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_54,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_55,Data byte 0 of Rx/Tx frame" group.long 0x65C++0x03 line.long 0x00 "MB62_16B_WORD1,Message Buffer 62 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x65C++0x03 line.long 0x00 "MB93_8B_WORD1,Message Buffer 93 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x65C++0x03 line.long 0x00 "WORD193,Message Buffer 93 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x660++0x03 line.long 0x00 "CS94,Message Buffer 94 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x660++0x03 line.long 0x00 "MB20_64B_WORD14,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_56,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_57,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_58,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_59,Data byte 0 of Rx/Tx frame" group.long 0x660++0x03 line.long 0x00 "MB62_16B_WORD2,Message Buffer 62 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_8,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_9,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_10,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_11,Data byte 0 of Rx/Tx frame" group.long 0x660++0x03 line.long 0x00 "MB94_8B_CS,Message Buffer 94 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x664++0x03 line.long 0x00 "ID94,Message Buffer 94 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x664++0x03 line.long 0x00 "MB20_64B_WORD15,Message Buffer 20 WORD_64B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_60,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_61,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_62,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_63,Data byte 0 of Rx/Tx frame" group.long 0x664++0x03 line.long 0x00 "MB62_16B_WORD3,Message Buffer 62 WORD_16B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_12,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_13,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_14,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_15,Data byte 0 of Rx/Tx frame" group.long 0x664++0x03 line.long 0x00 "MB94_8B_ID,Message Buffer 94 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x668++0x03 line.long 0x00 "MB94_8B_WORD0,Message Buffer 94 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x668++0x03 line.long 0x00 "WORD094,Message Buffer 94 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x66C++0x03 line.long 0x00 "MB94_8B_WORD1,Message Buffer 94 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x66C++0x03 line.long 0x00 "WORD194,Message Buffer 94 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x670++0x03 line.long 0x00 "CS95,Message Buffer 95 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x670++0x03 line.long 0x00 "MB95_8B_CS,Message Buffer 95 CS Register" bitfld.long 0x00 31. "EDL,Extended Data Length" "0,1" bitfld.long 0x00 30. "BRS,Bit Rate Switch" "0,1" newline bitfld.long 0x00 29. "ESI,Error State Indicator" "0,1" bitfld.long 0x00 24.--27. "CODE,Message Buffer Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 22. "SRR,Substitute Remote Request" "0,1" bitfld.long 0x00 21. "IDE,ID Extended" "0,1" newline bitfld.long 0x00 20. "RTR,Remote Transmission Request" "0,1" bitfld.long 0x00 16.--19. "DLC,Length of the data to be stored/transmitted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "TIME_STAMP,Free-Running Counter Time stamp" group.long 0x674++0x03 line.long 0x00 "ID95,Message Buffer 95 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x674++0x03 line.long 0x00 "MB95_8B_ID,Message Buffer 95 ID Register" bitfld.long 0x00 29.--31. "PRIO,Local priority" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 18.--28. 1. "STD,Contains standard/extended (HIGH word) identifier of message buffer" newline hexmask.long.tbyte 0x00 0.--17. 1. "EXT,Contains extended (LOW word) identifier of message buffer" group.long 0x678++0x03 line.long 0x00 "MB95_8B_WORD0,Message Buffer 95 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x678++0x03 line.long 0x00 "WORD095,Message Buffer 95 WORD0 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_0,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_1,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_2,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_3,Data byte 0 of Rx/Tx frame" group.long 0x67C++0x03 line.long 0x00 "MB95_8B_WORD1,Message Buffer 95 WORD_8B Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" group.long 0x67C++0x03 line.long 0x00 "WORD195,Message Buffer 95 WORD1 Register" hexmask.long.byte 0x00 24.--31. 1. "DATA_BYTE_4,Data byte 3 of Rx/Tx frame" hexmask.long.byte 0x00 16.--23. 1. "DATA_BYTE_5,Data byte 2 of Rx/Tx frame" newline hexmask.long.byte 0x00 8.--15. 1. "DATA_BYTE_6,Data byte 1 of Rx/Tx frame" hexmask.long.byte 0x00 0.--7. 1. "DATA_BYTE_7,Data byte 0 of Rx/Tx frame" repeat 96. (increment 0 1) (increment 0 0x04) group.long ($2+0x880)++0x03 line.long 0x00 "RXIMR[$1],Rx Individual Mask Registers $1" hexmask.long 0x00 0.--31. 1. "MI,Individual Mask Bits" repeat.end group.long 0xAE0++0x03 line.long 0x00 "MECR,Memory Error Control Register" bitfld.long 0x00 31. "ECRWRDIS,Error Configuration Register Write Disable" "0: Write is enabled,1: Write is disabled" bitfld.long 0x00 19. "HANCEI_MSK,Host Access With Non-Correctable Errors Interrupt Mask" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 18. "FANCEI_MSK,FlexCAN Access With Non-Correctable Errors Interrupt Mask" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 16. "CEI_MSK,Correctable Errors Interrupt Mask" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 15. "HAERRIE,Host Access Error Injection Enable" "0: Injection is disabled,1: Injection is enabled" bitfld.long 0x00 14. "FAERRIE,FlexCAN Access Error Injection Enable" "0: Injection is disabled,1: Injection is enabled" newline bitfld.long 0x00 13. "EXTERRIE,Extended Error Injection Enable" "0: Error injection is applied only to the 32-bit..,1: Error injection is applied to the 64-bit word" bitfld.long 0x00 9. "RERRDIS,Error Report Disable" "0: Enable updates of the error report registers,1: Disable updates of the error report registers" newline bitfld.long 0x00 8. "ECCDIS,Error Correction Disable" "0: Enable memory error correction,1: Disable memory error correction" bitfld.long 0x00 7. "NCEFAFRZ,Non-Correctable Errors In FlexCAN Access Put Device In Freeze Mode" "0: Keep normal operation,1: Put FlexCAN in Freeze mode (see section.." group.long 0xAE4++0x03 line.long 0x00 "ERRIAR,Error Injection Address Register" hexmask.long.word 0x00 2.--13. 1. "INJADDR_H,Error Injection Address High" rbitfld.long 0x00 0.--1. "INJADDR_L,Error Injection Address Low" "0,1,2,3" group.long 0xAE8++0x03 line.long 0x00 "ERRIDPR,Error Injection Data Pattern Register" hexmask.long 0x00 0.--31. 1. "DFLIP,Data flip pattern" group.long 0xAEC++0x03 line.long 0x00 "ERRIPPR,Error Injection Parity Pattern Register" bitfld.long 0x00 24.--28. "PFLIP3,Parity Flip Pattern For Byte 3 (most significant)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "PFLIP2,Parity Flip Pattern For Byte 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "PFLIP1,Parity Flip Pattern For Byte 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. "PFLIP0,Parity Flip Pattern For Byte 0 (Least Significant)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xAF0++0x03 line.long 0x00 "RERRAR,Error Report Address Register" bitfld.long 0x00 24. "NCE,Non-Correctable Error" "0: Reporting a correctable error,1: Reporting a non-correctable error" bitfld.long 0x00 16.--18. "SAID,SAID" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--13. 1. "ERRADDR,Address Where Error Detected" rgroup.long 0xAF4++0x03 line.long 0x00 "RERRDR,Error Report Data Register" hexmask.long 0x00 0.--31. 1. "RDATA,Raw data word read from memory with error" rgroup.long 0xAF8++0x03 line.long 0x00 "RERRSYNR,Error Report Syndrome Register" bitfld.long 0x00 31. "BE3,Byte Enabled For Byte 3 (most significant)" "0: The byte was not,1: The byte was" bitfld.long 0x00 24.--28. "SYND3,Error Syndrome For Byte 3 (most significant)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 23. "BE2,Byte Enabled For Byte 2" "0: The byte was not,1: The byte was" bitfld.long 0x00 16.--20. "SYND2,Error Syndrome For Byte 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 15. "BE1,Byte Enabled For Byte 1" "0: The byte was not,1: The byte was" bitfld.long 0x00 8.--12. "SYND1,Error Syndrome for Byte 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 7. "BE0,Byte Enabled For Byte 0 (least significant)" "0: The byte was not,1: The byte was" bitfld.long 0x00 0.--4. "SYND0,Error Syndrome For Byte 0 (least significant)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xAFC++0x03 line.long 0x00 "ERRSR,Error Status Register" eventfld.long 0x00 19. "HANCEIF,Host Access With Non-Correctable Error Interrupt Flag" "0: No non-correctable errors were detected in..,1: A non-correctable error was detected in a.." eventfld.long 0x00 18. "FANCEIF,FlexCAN Access With Non-Correctable Error Interrupt Flag" "0: No non-correctable errors were detected in..,1: A non-correctable error was detected in a.." newline eventfld.long 0x00 16. "CEIF,Correctable Error Interrupt Flag" "0: No correctable errors were detected so far,1: A correctable error was detected" eventfld.long 0x00 3. "HANCEIOF,Host Access With Non-Correctable Error Interrupt Overrun Flag" "0: No overrun on non-correctable errors in host..,1: Overrun on non-correctable errors in host.." newline eventfld.long 0x00 2. "FANCEIOF,FlexCAN Access With Non-Correctable Error Interrupt Overrun Flag" "0: No overrun on non-correctable errors in..,1: Overrun on non-correctable errors in FlexCAN.." eventfld.long 0x00 0. "CEIOF,Correctable Error Interrupt Overrun Flag" "0: No overrun on correctable errors,1: Overrun on correctable errors" group.long 0xBF0++0x03 line.long 0x00 "EPRS,Enhanced CAN Bit Timing Prescalers" hexmask.long.word 0x00 16.--25. 1. "EDPRESDIV,Extended Data Phase Prescaler Division Factor" hexmask.long.word 0x00 0.--9. 1. "ENPRESDIV,Extended Nominal Prescaler Division Factor" group.long 0xBF4++0x03 line.long 0x00 "ENCBT,Enhanced Nominal CAN Bit Timing" hexmask.long.byte 0x00 22.--28. 1. "NRJW,Nominal Resynchronization Jump Width" hexmask.long.byte 0x00 12.--18. 1. "NTSEG2,Nominal Time Segment 2" newline hexmask.long.byte 0x00 0.--7. 1. "NTSEG1,Nominal Time Segment 1" group.long 0xBF8++0x03 line.long 0x00 "EDCBT,Enhanced Data Phase CAN bit Timing" bitfld.long 0x00 22.--25. "DRJW,Data Phase Resynchronization Jump Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "DTSEG2,Data Phase Time Segment 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--4. "DTSEG1,Data Phase Segment 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xBFC++0x03 line.long 0x00 "ETDC,Enhanced Transceiver Delay Compensation" bitfld.long 0x00 31. "ETDCEN,Transceiver Delay Compensation Enable" "0: TDC is disabled,1: TDC is enabled" bitfld.long 0x00 30. "TDMDIS,Transceiver Delay Measurement Disable" "0: TDC measurement is enabled,1: TDC measurement is disabled" newline hexmask.long.byte 0x00 16.--22. 1. "ETDCOFF,Enhanced Transceiver Delay Compensation Offset" eventfld.long 0x00 15. "ETDCFAIL,Transceiver Delay Compensation Fail" "0: Measured loop delay is in range,1: Measured loop delay is out of range" newline hexmask.long.byte 0x00 0.--7. 1. "ETDCVAL,Enhanced Transceiver Delay Compensation Value" group.long 0xC00++0x03 line.long 0x00 "FDCTRL,CAN FD Control Register" bitfld.long 0x00 31. "FDRATE,Bit Rate Switch Enable" "0: Transmit a frame in nominal rate,1: Transmit a frame with bit rate switching if.." bitfld.long 0x00 22.--23. "MBDSR2,Message Buffer Data Size for Region 2" "0: Selects 8 bytes per message buffer,1: Selects 16 bytes per message buffer,2: Selects 32 bytes per message buffer,3: Selects 64 bytes per message buffer" newline bitfld.long 0x00 19.--20. "MBDSR1,Message Buffer Data Size for Region 1" "0: Selects 8 bytes per message buffer,1: Selects 16 bytes per message buffer,2: Selects 32 bytes per message buffer,3: Selects 64 bytes per message buffer" bitfld.long 0x00 16.--17. "MBDSR0,Message Buffer Data Size for Region 0" "0: Selects 8 bytes per message buffer,1: Selects 16 bytes per message buffer,2: Selects 32 bytes per message buffer,3: Selects 64 bytes per message buffer" newline bitfld.long 0x00 15. "TDCEN,Transceiver Delay Compensation Enable" "0: TDC is disabled,1: TDC is enabled" eventfld.long 0x00 14. "TDCFAIL,Transceiver Delay Compensation Fail" "0: Measured loop delay is in range,1: Measured loop delay is out of range" newline bitfld.long 0x00 8.--12. "TDCOFF,Transceiver Delay Compensation Offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--5. "TDCVAL,Transceiver Delay Compensation Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC04++0x03 line.long 0x00 "FDCBT,CAN FD Bit Timing Register" hexmask.long.word 0x00 20.--29. 1. "FPRESDIV,Fast Prescaler Division Factor" bitfld.long 0x00 16.--18. "FRJW,Fast Resync Jump Width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--14. "FPROPSEG,Fast Propagation Segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. "FPSEG1,Fast Phase Segment 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. "FPSEG2,Fast Phase Segment 2" "0,1,2,3,4,5,6,7" rgroup.long 0xC08++0x03 line.long 0x00 "FDCRC,CAN FD CRC Register" hexmask.long.byte 0x00 24.--30. 1. "FD_MBCRC,CRC Mailbox Number for FD_TXCRC" hexmask.long.tbyte 0x00 0.--20. 1. "FD_TXCRC,Extended Transmitted CRC value" group.long 0xC0C++0x03 line.long 0x00 "ERFCR,Enhanced Rx FIFO Control Register" bitfld.long 0x00 31. "ERFEN,Enhanced Rx FIFO enable" "0: Enhanced Rx FIFO is disabled,1: Enhanced Rx FIFO is enabled" bitfld.long 0x00 26.--30. "DMALW,DMA Last Word" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 16.--22. 1. "NEXIF,Number of Extended ID Filter Elements" bitfld.long 0x00 8.--13. "NFE,Number of Enhanced Rx FIFO Filter Elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--4. "ERFWM,Enhanced Rx FIFO Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xC10++0x03 line.long 0x00 "ERFIER,Enhanced Rx FIFO Interrupt Enable Register" bitfld.long 0x00 31. "ERFUFWIE,Enhanced Rx FIFO Underflow Interrupt Enable" "0: Enhanced Rx FIFO Underflow interrupt is..,1: Enhanced Rx FIFO Underflow interrupt is enabled" bitfld.long 0x00 30. "ERFOVFIE,Enhanced Rx FIFO Overflow Interrupt Enable" "0: Enhanced Rx FIFO Overflow is disabled,1: Enhanced Rx FIFO Overflow is enabled" newline bitfld.long 0x00 29. "ERFWMIIE,Enhanced Rx FIFO Watermark Indication Interrupt Enable" "0: Enhanced Rx FIFO Watermark interrupt is..,1: Enhanced Rx FIFO Watermark interrupt is enabled" bitfld.long 0x00 28. "ERFDAIE,Enhanced Rx FIFO Data Available Interrupt Enable" "0: Enhanced Rx FIFO Data Available interrupt is..,1: Enhanced Rx FIFO Data Available interrupt is.." group.long 0xC14++0x03 line.long 0x00 "ERFSR,Enhanced Rx FIFO Status Register" eventfld.long 0x00 31. "ERFUFW,Enhanced Rx FIFO Underflow" "0: No such occurrence,1: Enhanced Rx FIFO underflow" eventfld.long 0x00 30. "ERFOVF,Enhanced Rx FIFO Overflow" "0: No such occurrence,1: Enhanced Rx FIFO overflow" newline eventfld.long 0x00 29. "ERFWMI,Enhanced Rx FIFO Watermark Indication" "0: No such occurrence,1: The number of messages in FIFO is greater.." eventfld.long 0x00 28. "ERFDA,Enhanced Rx FIFO Data Available" "0: No such occurrence,1: There is at least one message stored in.." newline bitfld.long 0x00 27. "ERFCLR,Enhanced Rx FIFO Clear" "0: no_effect,1: Clear Enhanced Rx FIFO content" rbitfld.long 0x00 17. "ERFE,Enhanced Rx FIFO empty" "0: Enhanced Rx FIFO is not empty,1: Enhanced Rx FIFO is empty" newline rbitfld.long 0x00 16. "ERFF,Enhanced Rx FIFO full" "0: Enhanced Rx FIFO is not full,1: Enhanced Rx FIFO is full" rbitfld.long 0x00 0.--5. "ERFEL,Enhanced Rx FIFO Elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat 96. (increment 0 1) (increment 0 0x04) group.long ($2+0xC30)++0x03 line.long 0x00 "HR_TIME_STAMP[$1],High Resolution Time Stamp $1" hexmask.long 0x00 0.--31. 1. "TS,High Resolution Time Stamp" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) group.long ($2+0x3000)++0x03 line.long 0x00 "ERFFEL[$1],Enhanced Rx FIFO Filter Element $1" hexmask.long 0x00 0.--31. 1. "FEL,Filter Element Bits" repeat.end tree.end repeat.end tree.end tree "CCM (Clock Controller Module)" base ad:0x44450000 repeat 95. (increment 0 1)(increment 0 0x80) tree "CLOCK_ROOT[$1]" group.long ($2+0x00)++0x03 line.long 0x00 "CLOCK_ROOT_CONTROL,Clock Root Control Register" bitfld.long 0x00 24. "OFF,Shutdown clock root" "0: Clock is running,1: Turn off clock" bitfld.long 0x00 8.--9. "MUX,Clock multiplexer" "0: Select clock source 0,1: Select clock source 1,2: Select clock source 2,3: Select clock source 3" newline hexmask.long.byte 0x00 0.--7. 1. "DIV,Clock division fraction" group.long ($2+0x04)++0x03 line.long 0x00 "CLOCK_ROOT_CONTROL_SET,Clock Root Control Register" bitfld.long 0x00 24. "OFF,Shutdown clock root" "0,1" bitfld.long 0x00 8.--9. "MUX,Clock multiplexer" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "DIV,Clock division fraction" group.long ($2+0x08)++0x03 line.long 0x00 "CLOCK_ROOT_CONTROL_CLR,Clock Root Control Register" eventfld.long 0x00 24. "OFF,Shutdown clock root" "0,1" eventfld.long 0x00 8.--9. "MUX,Clock multiplexer" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "DIV,Clock division fraction" group.long ($2+0x0C)++0x03 line.long 0x00 "CLOCK_ROOT_CONTROL_TOG,Clock Root Control Register" bitfld.long 0x00 24. "OFF,Shutdown clock root" "0,1" bitfld.long 0x00 8.--9. "MUX,Clock multiplexer" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "DIV,Clock division fraction" rgroup.long ($2+0x20)++0x03 line.long 0x00 "CLOCK_ROOT_STATUS0,Clock root working status" bitfld.long 0x00 31. "CHANGING,no description available" "0,1" bitfld.long 0x00 28. "SLICE_BUSY,no description available" "0,1" newline bitfld.long 0x00 27. "POWERDOWN,no description available" "0,1" bitfld.long 0x00 24. "OFF,Current clock root OFF setting" "0: Clock is running,1: Turn off clock" newline bitfld.long 0x00 8.--9. "MUX,Current clock root MUX setting" "0,1,2,3" hexmask.long.byte 0x00 0.--7. 1. "DIV,Current clock root DIV setting" group.long ($2+0x30)++0x03 line.long 0x00 "CLOCK_ROOT_AUTHEN,Clock root access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: Whitelist is not locked,1: Whitelist is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0: Trustzone settings is not locked,1: Trustzone settings is locked" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in Non-secure mode,1: Can be changed in Non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: Clock Root settings cannot be changed in user..,1: Clock Root settings can be changed in user mode" tree.end repeat.end repeat 6. (increment 0 1)(increment 0 0x4480) tree "OBSERVE[$1]" group.long ($2+0x4400)++0x03 line.long 0x00 "OBSERVE_CONTROL,Observe control" bitfld.long 0x00 24. "OFF,Turn off" "0: observe slice is on,1: observe slice is off" newline hexmask.long.byte 0x00 16.--23. 1. "DIVIDE,Division factor of the divider for observed signal" newline bitfld.long 0x00 15. "RESET,Reset observe divider" "0: Reset deasserts,1: Reset asserts" newline bitfld.long 0x00 13. "INV,Invert input signal phase" "0: Clock phase remain same,1: Invert clock phase before measurement or send.." newline bitfld.long 0x00 12. "RAW,Observe raw signal" "0: Select divided signal,1: Select raw signal" newline hexmask.long.word 0x00 0.--8. 1. "SELECT,Observe signal selector" group.long ($2+0x4404)++0x03 line.long 0x00 "OBSERVE_CONTROL_SET,Observe control" bitfld.long 0x00 24. "OFF,Turn off" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "DIVIDE,Division factor of the divider for observed signal" newline bitfld.long 0x00 15. "RESET,Reset observe divider" "0,1" newline bitfld.long 0x00 13. "INV,Invert input signal phase" "0,1" newline bitfld.long 0x00 12. "RAW,Observe raw signal" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "SELECT,Observe signal selector" group.long ($2+0x4408)++0x03 line.long 0x00 "OBSERVE_CONTROL_CLR,Observe control" eventfld.long 0x00 24. "OFF,Turn off" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "DIVIDE,Division factor of the divider for observed signal" newline eventfld.long 0x00 15. "RESET,Reset observe divider" "0,1" newline eventfld.long 0x00 13. "INV,Invert input signal phase" "0,1" newline eventfld.long 0x00 12. "RAW,Observe raw signal" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "SELECT,Observe signal selector" group.long ($2+0x440C)++0x03 line.long 0x00 "OBSERVE_CONTROL_TOG,Observe control" bitfld.long 0x00 24. "OFF,Turn off" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "DIVIDE,Division factor of the divider for observed signal" newline bitfld.long 0x00 15. "RESET,Reset observe divider" "0,1" newline bitfld.long 0x00 13. "INV,Invert input signal phase" "0,1" newline bitfld.long 0x00 12. "RAW,Observe raw signal" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "SELECT,Observe signal selector" rgroup.long ($2+0x4420)++0x03 line.long 0x00 "OBSERVE_STATUS,Observe status" bitfld.long 0x00 31. "BUSY,Busy" "0,1" newline bitfld.long 0x00 25. "FREQ_MEASURE_DONE,frequency measurement done flag" "0: Frequency measurement is on-going or not..,1: Frequency measurement is done" newline bitfld.long 0x00 24. "OFF,no description available" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "DIVIDE,no description available" newline bitfld.long 0x00 15. "RESET,no description available" "0,1" newline bitfld.long 0x00 13. "INV,no description available" "0,1" newline bitfld.long 0x00 12. "RAW,no description available" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "SELECT,no description available" group.long ($2+0x4430)++0x03 line.long 0x00 "OBSERVE_AUTHEN,Observe access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" newline bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: White list is not locked,1: White list is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock trustzone setting" "0: Trustzone settings is not locked,1: Trustzone settings is locked" newline bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in non-secure mode,1: Can be changed in non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: Observe slice settings cannot be changed in..,1: Observe slice settings can be changed in user.." group.long ($2+0x4434)++0x03 line.long 0x00 "OBSERVE_AUTHEN_SET,Observe access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" newline bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock trustzone setting" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x4438)++0x03 line.long 0x00 "OBSERVE_AUTHEN_CLR,Observe access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" newline eventfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline eventfld.long 0x00 11. "LOCK_TZ,Lock trustzone setting" "0,1" newline eventfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline eventfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x443C)++0x03 line.long 0x00 "OBSERVE_AUTHEN_TOG,Observe access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" newline bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock trustzone setting" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" rgroup.long ($2+0x4440)++0x03 line.long 0x00 "OBSERVE_FREQUENCY_CURRENT,Current frequency detected" hexmask.long 0x00 0.--31. 1. "FREQUENCY,Frequency" rgroup.long ($2+0x4444)++0x03 line.long 0x00 "OBSERVE_FREQUENCY_MIN,Minimum frequency detected" hexmask.long 0x00 0.--31. 1. "FREQUENCY,Frequency" rgroup.long ($2+0x4448)++0x03 line.long 0x00 "OBSERVE_FREQUENCY_MAX,Maximum frequency detected" hexmask.long 0x00 0.--31. 1. "FREQUENCY,Frequency" rgroup.long ($2+0x4450)++0x03 line.long 0x00 "OBSERVE_PERIOD_CURRENT,Current period time detected" hexmask.long 0x00 0.--31. 1. "PERIOD,Period time" rgroup.long ($2+0x4454)++0x03 line.long 0x00 "OBSERVE_PERIOD_MIN,Minimum period time detected" hexmask.long 0x00 0.--31. 1. "PERIOD,Period time" rgroup.long ($2+0x4458)++0x03 line.long 0x00 "OBSERVE_PERIOD_MAX,Maximum period time detected" hexmask.long 0x00 0.--31. 1. "PERIOD,Period time" rgroup.long ($2+0x4460)++0x03 line.long 0x00 "OBSERVE_HIGH_CURRENT,Current high level time detected" hexmask.long 0x00 0.--31. 1. "HIGH,High level time" rgroup.long ($2+0x4464)++0x03 line.long 0x00 "OBSERVE_HIGH_MIN,Minimum high level time detected" hexmask.long 0x00 0.--31. 1. "HIGH,High level time" rgroup.long ($2+0x4468)++0x03 line.long 0x00 "OBSERVE_HIGH_MAX,Maximum high level time detected" hexmask.long 0x00 0.--31. 1. "HIGH,High level time" rgroup.long ($2+0x4470)++0x03 line.long 0x00 "OBSERVE_LOW_CURRENT,Current low level time detected" hexmask.long 0x00 0.--31. 1. "LOW,Low level time" rgroup.long ($2+0x4474)++0x03 line.long 0x00 "OBSERVE_LOW_MIN,Minimum low level time detected" hexmask.long 0x00 0.--31. 1. "LOW,Low level time" rgroup.long ($2+0x4478)++0x03 line.long 0x00 "OBSERVE_LOW_MAX,Maximum low level time detected" hexmask.long 0x00 0.--31. 1. "LOW,Low level time" tree.end repeat.end repeat 8. (increment 0 1)(increment 0 0x4820) tree "GPR_SHARED[$1]" group.long ($2+0x4800)++0x03 line.long 0x00 "GPR_SHARED,General Purpose Register" group.long ($2+0x4804)++0x03 line.long 0x00 "GPR_SHARED_SET,General Purpose Register" group.long ($2+0x4808)++0x03 line.long 0x00 "GPR_SHARED_CLR,General Purpose Register" group.long ($2+0x480C)++0x03 line.long 0x00 "GPR_SHARED_TOG,General Purpose Register" group.long ($2+0x4810)++0x03 line.long 0x00 "GPR_SHARED_AUTHEN,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: Whitelist is not locked,1: Whitelist is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0: Trustzone settings is not locked,1: Trustzone settings is locked" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in Non-secure mode,1: Can be changed in Non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: Registers of shared GPR slice cannot be..,1: Registers of shared GPR slice can be changed.." group.long ($2+0x4814)++0x03 line.long 0x00 "GPR_SHARED_AUTHEN_SET,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x4818)++0x03 line.long 0x00 "GPR_SHARED_AUTHEN_CLR,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" eventfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline eventfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" eventfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline eventfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x481C)++0x03 line.long 0x00 "GPR_SHARED_AUTHEN_TOG,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" tree.end repeat.end repeat 8. (increment 0 1)(increment 0 0x4C20) tree "GPR_PRIVATE[$1]" group.long ($2+0x4C00)++0x03 line.long 0x00 "GPR_PRIVATE,General puspose register" hexmask.long 0x00 0.--31. 1. "GPR,GP register" group.long ($2+0x4C04)++0x03 line.long 0x00 "GPR_PRIVATE_SET,General puspose register" hexmask.long 0x00 0.--31. 1. "GPR,GP register" group.long ($2+0x4C08)++0x03 line.long 0x00 "GPR_PRIVATE_CLR,General puspose register" hexmask.long 0x00 0.--31. 1. "GPR,GP register" group.long ($2+0x4C0C)++0x03 line.long 0x00 "GPR_PRIVATE_TOG,General puspose register" hexmask.long 0x00 0.--31. 1. "GPR,GP register" group.long ($2+0x4C10)++0x03 line.long 0x00 "GPR_PRIVATE_AUTHEN,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: Whitelist is not locked,1: Whitelist is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0: Trustzone settings is not locked,1: Trustzone settings is locked" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in Non-secure mode,1: Can be changed in Non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: Registers of privat GPR cannot be changed in..,1: Registers of private GPR can be changed in.." group.long ($2+0x4C14)++0x03 line.long 0x00 "GPR_PRIVATE_AUTHEN_SET,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x4C18)++0x03 line.long 0x00 "GPR_PRIVATE_AUTHEN_CLR,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" eventfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline eventfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" eventfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline eventfld.long 0x00 8. "TZ_USER,User access permission" "0,1" group.long ($2+0x4C1C)++0x03 line.long 0x00 "GPR_PRIVATE_AUTHEN_TOG,GPR access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist settings" bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0,1" bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0,1" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0,1" tree.end repeat.end repeat 19. (increment 0 1)(increment 0 0x5040) tree "OSCPLL[$1]" group.long ($2+0x5000)++0x03 line.long 0x00 "OSCPLL_DIRECT,Clock source direct control" bitfld.long 0x00 0. "ON,Turn on clock source" "0: Clock source is OFF,1: Clock source is ON" rgroup.long ($2+0x5004)++0x03 line.long 0x00 "OSCPLL_LPM_STATUS0,Low power mode information transfer status" bitfld.long 0x00 31. "TRANS_DONE_DOMAIN7,no description available" "0,1" newline bitfld.long 0x00 30. "TRANS_REQ_DOMAIN7,no description available" "0,1" newline bitfld.long 0x00 28.--29. "CPU_MODE_DOMAIN7,no description available" "0,1,2,3" newline bitfld.long 0x00 27. "TRANS_DONE_DOMAIN6,no description available" "0,1" newline bitfld.long 0x00 26. "TRANS_REQ_DOMAIN6,no description available" "0,1" newline bitfld.long 0x00 24.--25. "CPU_MODE_DOMAIN6,no description available" "0,1,2,3" newline bitfld.long 0x00 23. "TRANS_DONE_DOMAIN5,no description available" "0,1" newline bitfld.long 0x00 22. "TRANS_REQ_DOMAIN5,no description available" "0,1" newline bitfld.long 0x00 20.--21. "CPU_MODE_DOMAIN5,no description available" "0,1,2,3" newline bitfld.long 0x00 19. "TRANS_DONE_DOMAIN4,no description available" "0,1" newline bitfld.long 0x00 18. "TRANS_REQ_DOMAIN4,no description available" "0,1" newline bitfld.long 0x00 16.--17. "CPU_MODE_DOMAIN4,no description available" "0,1,2,3" newline bitfld.long 0x00 15. "TRANS_DONE_DOMAIN3,no description available" "0,1" newline bitfld.long 0x00 14. "TRANS_REQ_DOMAIN3,no description available" "0,1" newline bitfld.long 0x00 12.--13. "CPU_MODE_DOMAIN3,no description available" "0,1,2,3" newline bitfld.long 0x00 11. "TRANS_DONE_DOMAIN2,no description available" "0,1" newline bitfld.long 0x00 10. "TRANS_REQ_DOMAIN2,no description available" "0,1" newline bitfld.long 0x00 8.--9. "CPU_MODE_DOMAIN2,no description available" "0,1,2,3" newline bitfld.long 0x00 7. "TRANS_DONE_DOMAIN1,no description available" "0,1" newline bitfld.long 0x00 6. "TRANS_REQ_DOMAIN1,no description available" "0,1" newline bitfld.long 0x00 4.--5. "CPU_MODE_DOMAIN1,CPU mode transfer done" "0,1,2,3" newline bitfld.long 0x00 3. "TRANS_DONE_DOMAIN0,no description available" "0,1" newline bitfld.long 0x00 2. "TRANS_REQ_DOMAIN0,Requiring CPU mode transfer" "0,1" newline bitfld.long 0x00 0.--1. "CPU_MODE_DOMAIN0,Current mode of CPU domain 0" "0: CPU domain is in RUN mode,1: CPU is in WAIT mode,2: CPU is in STOP mode,3: CPU is in SUSPEND mode" rgroup.long ($2+0x5008)++0x03 line.long 0x00 "OSCPLL_LPM_STATUS1,Low power mode information transfer status" bitfld.long 0x00 31. "TRANS_DONE_DOMAIN15,no description available" "0,1" newline bitfld.long 0x00 30. "TRANS_REQ_DOMAIN15,no description available" "0,1" newline bitfld.long 0x00 28.--29. "CPU_MODE_DOMAIN15,no description available" "0,1,2,3" newline bitfld.long 0x00 27. "TRANS_DONE_DOMAIN14,no description available" "0,1" newline bitfld.long 0x00 26. "TRANS_REQ_DOMAIN14,no description available" "0,1" newline bitfld.long 0x00 24.--25. "CPU_MODE_DOMAIN14,no description available" "0,1,2,3" newline bitfld.long 0x00 23. "TRANS_DONE_DOMAIN13,no description available" "0,1" newline bitfld.long 0x00 22. "TRANS_REQ_DOMAIN13,no description available" "0,1" newline bitfld.long 0x00 20.--21. "CPU_MODE_DOMAIN13,no description available" "0,1,2,3" newline bitfld.long 0x00 19. "TRANS_DONE_DOMAIN12,no description available" "0,1" newline bitfld.long 0x00 18. "TRANS_REQ_DOMAIN12,no description available" "0,1" newline bitfld.long 0x00 16.--17. "CPU_MODE_DOMAIN12,no description available" "0,1,2,3" newline bitfld.long 0x00 15. "TRANS_DONE_DOMAIN11,no description available" "0,1" newline bitfld.long 0x00 14. "TRANS_REQ_DOMAIN11,no description available" "0,1" newline bitfld.long 0x00 12.--13. "CPU_MODE_DOMAIN11,no description available" "0,1,2,3" newline bitfld.long 0x00 11. "TRANS_DONE_DOMAIN10,no description available" "0,1" newline bitfld.long 0x00 10. "TRANS_REQ_DOMAIN10,no description available" "0,1" newline bitfld.long 0x00 8.--9. "CPU_MODE_DOMAIN10,no description available" "0,1,2,3" newline bitfld.long 0x00 7. "TRANS_DONE_DOMAIN9,no description available" "0,1" newline bitfld.long 0x00 6. "TRANS_REQ_DOMAIN9,no description available" "0,1" newline bitfld.long 0x00 4.--5. "CPU_MODE_DOMAIN9,no description available" "0,1,2,3" newline bitfld.long 0x00 3. "TRANS_DONE_DOMAIN8,no description available" "0,1" newline bitfld.long 0x00 2. "TRANS_REQ_DOMAIN8,no description available" "0,1" newline bitfld.long 0x00 0.--1. "CPU_MODE_DOMAIN8,no description available" "0,1,2,3" group.long ($2+0x5010)++0x03 line.long 0x00 "OSCPLL_LPM0,Clock source low power mode setting" bitfld.long 0x00 28.--29. "LPM_SETTING_D7,Clock Source LPM in DOMAIN7" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 24.--25. "LPM_SETTING_D6,Clock Source LPM in DOMAIN6" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 20.--21. "LPM_SETTING_D5,Clock Source LPM in DOMAIN5" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 16.--17. "LPM_SETTING_D4,Clock Source LPM in DOMAIN4" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 12.--13. "LPM_SETTING_D3,Clock Source LPM in DOMAIN3" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 8.--9. "LPM_SETTING_D2,Clock Source LPM in DOMAIN2" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 4.--5. "LPM_SETTING_D1,Clock Source LPM in DOMAIN1" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 0.--1. "LPM_SETTING_D0,Clock Source LPM in DOMAIN0" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." group.long ($2+0x5014)++0x03 line.long 0x00 "OSCPLL_LPM1,clock source low power mode setting" bitfld.long 0x00 28.--29. "LPM_SETTING_D15,Clock Source LPM in DOMAIN15" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 24.--25. "LPM_SETTING_D14,Clock Source LPM in DOMAIN14" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 20.--21. "LPM_SETTING_D13,Clock Source LPM in DOMAIN13" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 16.--17. "LPM_SETTING_D12,Clock Source LPM in DOMAIN12" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 12.--13. "LPM_SETTING_D11,Clock Source LPM in DOMAIN11" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 8.--9. "LPM_SETTING_D10,Clock Source LPM in DOMAIN10" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 4.--5. "LPM_SETTING_D9,Clock Source LPM in DOMAIN9" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." newline bitfld.long 0x00 0.--1. "LPM_SETTING_D8,Clock Source LPM in DOMAIN8" "0: Clock Source will be OFF in any CPU mode,1: Clock Source will be ON in RUN mode OFF in..,2: Clock Source will be ON in RUN/WAIT mode OFF..,3: Clock Source will be ON in RUN/WAIT/STOP mode.." group.long ($2+0x501C)++0x03 line.long 0x00 "OSCPLL_LPM_CUR,LPM setting of current CPU domain" bitfld.long 0x00 0.--1. "LPM_SETTING_CUR,no description available" "0,1,2,3" rgroup.long ($2+0x5020)++0x03 line.long 0x00 "OSCPLL_STATUS0,Clock source working status" bitfld.long 0x00 12. "IN_USE,This Clock Source is being used or not" "0: Clock Source is not being used,1: Clock Source is being used" newline bitfld.long 0x00 5. "STATUS_LATE,Late ready signal sent from PLL control module" "0,1" newline bitfld.long 0x00 4. "STATUS_EARLY,Early ready signal sent from PLL control module" "0,1" newline bitfld.long 0x00 0. "ON,Clock source current state" "0: Clock source is OFF,1: Clock source is ON" rgroup.long ($2+0x5024)++0x03 line.long 0x00 "OSCPLL_STATUS1,Clock source domain status" hexmask.long.word 0x00 16.--31. 1. "DOMAIN_ENABLE,Domain enable" newline hexmask.long.word 0x00 0.--15. 1. "DOMAIN_ACTIVE,Domain active" group.long ($2+0x5030)++0x03 line.long 0x00 "OSCPLL_AUTHEN,Clock Source access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist" newline bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: Whitelist is not locked,1: Whitelist is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0: Trustzone settings is not locked,1: Trustzone settings is locked" newline bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in Non-secure mode,1: Can be changed in Non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: Clock Source settings cannot be changed in..,1: Clock Source settings can be changed in user.." newline bitfld.long 0x00 3. "AUTO_CTRL,Auto mode enable" "0: Disable Auto mode,1: Enable Auto mode" newline bitfld.long 0x00 2. "CPULPM_MODE,CPULPM mode enable" "0: Disable CPULPM mode,1: Enable CPULPM mode" tree.end repeat.end repeat 127. (increment 0 1)(increment 0 0x8040) tree "LPCG[$1]" group.long ($2+0x8000)++0x03 line.long 0x00 "LPCG_DIRECT,LPCG direct control" bitfld.long 0x00 2. "CLKOFF_ACK_TIMEOUT_EN,Clock off handshake timeout enable" "0: CLKOFF_ACK_TIMEOUT_EN_0,1: CLKOFF_ACK_TIMEOUT_EN_1" newline bitfld.long 0x00 0. "ON,Turn on LPCG" "0: LPCG is OFF,1: LPCG is ON" rgroup.long ($2+0x8004)++0x03 line.long 0x00 "LPCG_LPM_STATUS0,Low power mode information transfer status" bitfld.long 0x00 30. "TRANS_REQ_DOMAIN7,no description available" "0,1" newline bitfld.long 0x00 28.--29. "CPU_MODE_DOMAIN7,no description available" "0,1,2,3" newline bitfld.long 0x00 26. "TRANS_REQ_DOMAIN6,no description available" "0,1" newline bitfld.long 0x00 24.--25. "CPU_MODE_DOMAIN6,no description available" "0,1,2,3" newline bitfld.long 0x00 22. "TRANS_REQ_DOMAIN5,no description available" "0,1" newline bitfld.long 0x00 20.--21. "CPU_MODE_DOMAIN5,no description available" "0,1,2,3" newline bitfld.long 0x00 18. "TRANS_REQ_DOMAIN4,no description available" "0,1" newline bitfld.long 0x00 16.--17. "CPU_MODE_DOMAIN4,no description available" "0,1,2,3" newline bitfld.long 0x00 14. "TRANS_REQ_DOMAIN3,no description available" "0,1" newline bitfld.long 0x00 12.--13. "CPU_MODE_DOMAIN3,no description available" "0,1,2,3" newline bitfld.long 0x00 10. "TRANS_REQ_DOMAIN2,no description available" "0,1" newline bitfld.long 0x00 8.--9. "CPU_MODE_DOMAIN2,no description available" "0,1,2,3" newline bitfld.long 0x00 6. "TRANS_REQ_DOMAIN1,no description available" "0,1" newline bitfld.long 0x00 4.--5. "CPU_MODE_DOMAIN1,Requiring CPU mode transfer" "0,1,2,3" newline bitfld.long 0x00 2. "TRANS_REQ_DOMAIN0,no description available" "0,1" newline bitfld.long 0x00 0.--1. "CPU_MODE_DOMAIN0,Current mode of CPU domain 0" "0: CPU domain is in RUN mode,1: CPU is in WAIT mode,2: CPU is in STOP mode,3: CPU is in SUSPEND mode" rgroup.long ($2+0x8008)++0x03 line.long 0x00 "LPCG_LPM_STATUS1,Low power mode information transfer status" bitfld.long 0x00 30. "TRANS_REQ_DOMAINF,no description available" "0,1" newline bitfld.long 0x00 28.--29. "CPU_MODE_DOMAINF,no description available" "0,1,2,3" newline bitfld.long 0x00 26. "TRANS_REQ_DOMAINE,no description available" "0,1" newline bitfld.long 0x00 24.--25. "CPU_MODE_DOMAINE,no description available" "0,1,2,3" newline bitfld.long 0x00 22. "TRANS_REQ_DOMAIND,no description available" "0,1" newline bitfld.long 0x00 20.--21. "CPU_MODE_DOMAIND,no description available" "0,1,2,3" newline bitfld.long 0x00 18. "TRANS_REQ_DOMAINC,no description available" "0,1" newline bitfld.long 0x00 16.--17. "CPU_MODE_DOMAINC,no description available" "0,1,2,3" newline bitfld.long 0x00 14. "TRANS_REQ_DOMAINB,no description available" "0,1" newline bitfld.long 0x00 12.--13. "CPU_MODE_DOMAINB,no description available" "0,1,2,3" newline bitfld.long 0x00 10. "TRANS_REQ_DOMAINA,no description available" "0,1" newline bitfld.long 0x00 8.--9. "CPU_MODE_DOMAINA,no description available" "0,1,2,3" newline bitfld.long 0x00 6. "TRANS_REQ_DOMAIN9,no description available" "0,1" newline bitfld.long 0x00 4.--5. "CPU_MODE_DOMAIN9,no description available" "0,1,2,3" newline bitfld.long 0x00 2. "TRANS_REQ_DOMAIN8,no description available" "0,1" newline bitfld.long 0x00 0.--1. "CPU_MODE_DOMAIN8,no description available" "0,1,2,3" group.long ($2+0x8010)++0x03 line.long 0x00 "LPCG_LPM0,LPCG low power mode setting" bitfld.long 0x00 28.--29. "LPM_SETTING_D7,LPCG low power mode setting in DOMAIN7" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 24.--25. "LPM_SETTING_D6,LPCG low power mode setting in DOMAIN6" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 20.--21. "LPM_SETTING_D5,LPCG low power mode setting in DOMAIN5" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 16.--17. "LPM_SETTING_D4,LPCG low power mode setting in DOMAIN4" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 12.--13. "LPM_SETTING_D3,LPCG low power mode setting in DOMAIN3" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 8.--9. "LPM_SETTING_D2,LPCG LPM in DOMAIN2" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 4.--5. "LPM_SETTING_D1,LPCG LPM in DOMAIN1" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 0.--1. "LPM_SETTING_D0,LPCG LPM in DOMAIN0" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." group.long ($2+0x8014)++0x03 line.long 0x00 "LPCG_LPM1,LPCG low power mode setting" bitfld.long 0x00 28.--29. "LPM_SETTING_D15,LPCG low power mode setting in DOMAIN15" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 24.--25. "LPM_SETTING_D14,LPCG low power mode setting in DOMAIN14" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 20.--21. "LPM_SETTING_D13,LPCG low power mode setting in DOMAIN13" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 16.--17. "LPM_SETTING_D12,LPCG low power mode setting in DOMAIN12" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 12.--13. "LPM_SETTING_D11,LPCG low power mode setting in DOMAIN11" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 8.--9. "LPM_SETTING_D10,LPCG low power mode setting in DOMAIN10" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 4.--5. "LPM_SETTING_D9,LPCG low power mode setting in DOMAIN9" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." newline bitfld.long 0x00 0.--1. "LPM_SETTING_D8,LPCG low power mode setting in DOMAIN8" "0: LPCG will be OFF in any CPU mode,1: LPCG will be ON in RUN mode OFF in..,2: LPCG will be ON in RUN/WAIT mode OFF in..,3: LPCG will be ON in RUN/WAIT/STOP mode OFF in.." group.long ($2+0x801C)++0x03 line.long 0x00 "LPCG_LPM_CUR,LPM setting of current CPU domain" bitfld.long 0x00 0.--1. "LPM_SETTING_CUR,no description available" "0,1,2,3" rgroup.long ($2+0x8020)++0x03 line.long 0x00 "LPCG_STATUS0,LPCG working status" bitfld.long 0x00 0. "ON,LPCG work status" "0: LPCG is OFF,1: LPCG is ON" rgroup.long ($2+0x8024)++0x03 line.long 0x00 "LPCG_STATUS1,LPCG domain status" hexmask.long.word 0x00 16.--31. 1. "DOMAIN_ENABLE,Domain enable" newline hexmask.long.word 0x00 0.--15. 1. "DOMAIN_ACTIVE,Domain active" group.long ($2+0x8030)++0x03 line.long 0x00 "LPCG_AUTHEN,LPCG access control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Whitelist" newline bitfld.long 0x00 15. "LOCK_LIST,Lock white list" "0: Whitelist is not locked,1: Whitelist is locked" newline bitfld.long 0x00 11. "LOCK_TZ,Lock Trustzone settings" "0: Trustzone settings is not locked,1: Trustzone settings is locked" newline bitfld.long 0x00 9. "TZ_NS,Non-secure access permission" "0: Cannot be changed in Non-secure mode,1: Can be changed in Non-secure mode" newline bitfld.long 0x00 8. "TZ_USER,User access permission" "0: LPCG settings cannot be changed in user mode,1: LPCG settings can be changed in user mode" newline bitfld.long 0x00 2. "CPULPM_MODE,CPULPM mode enable" "0: Disable CPULPM mode this LPCG is in Direct..,1: Enable CPULPM mode this LPCG is in CPULPM mode" tree.end repeat.end tree.end tree "CM33_MCM" base ad:0x44420000 group.long 0x00++0x03 line.long 0x00 "ITCMECCR,ITCM ECC Control Register" bitfld.long 0x00 1. "RECC_DIS,Disable ITCM ECC Read Check" "0: Enable ECC check on ITCM read data,1: Disable ECC check on ITCM read data" newline bitfld.long 0x00 0. "WECC_DIS,Disable ITCM ECC Write Generation" "0: Enable ECC generation on ITCM write data,1: Disable ECC generation on ITCM write data" group.long 0x04++0x03 line.long 0x00 "TCMECCR,TCM ECC Control Register" bitfld.long 0x00 1. "RECC_DIS,Disable TCM ECC Read Check" "0: Enable ECC check on TCM read data,1: Disable ECC check on TCM read data" newline bitfld.long 0x00 0. "WECC_DIS,Disable TCM ECC Write Generation" "0: Enable ECC generation on TCM write data,1: Disable ECC generation on TCM write data" group.long 0x20++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" hexmask.long.tbyte 0x00 14.--31. 1. "Reserved,Reserved" newline eventfld.long 0x00 13. "SYS_TCM_ECC_ERRS_INT,SYS_TCM access single-bit ECC Error Interrupt Status" "0: SYS_TCM single-bit ECC error does not happen,1: SYS_TCM single-bit ECC error happens" newline eventfld.long 0x00 12. "SYS_TCM_ECC_ERRM_INT,SYS_TCM access multi-bit ECC Error Interrupt Status" "0: SYS_TCM multi-bit ECC error does not happen,1: SYS_TCM multi-bit ECC error happens" newline eventfld.long 0x00 11. "CODE_TCM_ECC_ERRS_INT,CODE_TCM access single-bit ECC Error Interrupt Status" "0: CODE_TCM single-bit ECC error does not happen,1: CODE_TCM single-bit ECC error happens" newline eventfld.long 0x00 10. "CODE_TCM_ECC_ERRM_INT,CODE_TCM access multi-bit ECC Error Interrupt Status" "0: CODE_TCM multi-bit ECC error does not happen,1: CODE_TCM multi-bit ECC error happens" newline eventfld.long 0x00 9. "ITCM_ECC_ERRS_INT,ITCM access single-bit ECC Error Interrupt Status" "0: ITCM single-bit ECC error does not happen,1: ITCM single-bit ECC error happens" newline eventfld.long 0x00 8. "ITCM_ECC_ERRM_INT,ITCM Access multi-bit ECC Error Interrupt Status" "0: ITCM multi-bit ECC error does not happen,1: ITCM multi-bit ECC error happens" newline hexmask.long.byte 0x00 0.--7. 1. "Reserved0,Reserved" group.long 0x24++0x03 line.long 0x00 "INT_STAT_EN,Interrupt Status Enable Register" hexmask.long.tbyte 0x00 14.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 13. "SYS_TCM_ERRS_INT_EN,SYS_TCM Access single bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 12. "SYS_TCM_ERRM_INT_EN,SYS_TCM Access multi bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 11. "CODE_TCM_ERRS_INT_EN,CODE_TCM Access single bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 10. "CODE_TCM_ERRM_INT_EN,CODE_TCM Access multi bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 9. "ITCM_ERRS_INT_EN,ITCM Access single bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 8. "ITCM_ERRM_INT_EN,ITCM Access multi bit ECC Error Interrupt Status Enable" "0: DISABLE,1: Enabled" newline hexmask.long.byte 0x00 0.--7. 1. "Reserved0,Reserved" group.long 0x28++0x03 line.long 0x00 "INT_SIG_EN,Interrupt Enable Register" hexmask.long.tbyte 0x00 14.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 13. "SYS_TCM_ERRS_INT_SIG_EN,SYS_TCM Access single bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 12. "SYS_TCM_ERRM_INT_SIG_EN,SYS_TCM Access multi bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 11. "CODE_TCM_ERRS_INT_SIG_EN,CODE_TCM Access single bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 10. "CODE_TCM_ERRM_INT_SIG_EN,CODE_TCM Access multi bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 9. "ITCM_ERRS_INT_SIG_EN,ITCM Access single bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline bitfld.long 0x00 8. "ITCM_ERRM_INT_SIG_EN,ITCM Access multi bit ECC Error Interrupt Signal Enable" "0: DISABLE,1: Enabled" newline hexmask.long.byte 0x00 0.--7. 1. "Reserved0,Reserved" rgroup.long 0x3C++0x03 line.long 0x00 "ITCM_ECC_SINGLE_ERROR_INFO,ITCM single-bit ECC Error Information" hexmask.long.word 0x00 20.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--19. 1. "ITCM_ECCS_EFSYN,ECC syndrome" newline bitfld.long 0x00 8.--11. "ITCM_ECCS_EFPRT,Privilege level of access" "0: USER,?,2: PRIVILEGED,?..." newline bitfld.long 0x00 4.--7. "ITCM_ECCS_EFMST,Encodes the requestor of the access" "0: Instruction fetch,1: Data access,2: Vector fetch on automated exception entry,3: AHB slave access,4: Debugger access,5: MBIST access,?,?,?,9: Software data access from store queue,?,11: AHB slave access from store queue,12: Debugger access from store queue,?..." newline bitfld.long 0x00 1.--3. "ITCM_ECCS_EFSIZ,Size of the access" "0: DISABLE,1: ENABLE0,2: ENABLE1,3: ENABLE2,?..." newline bitfld.long 0x00 0. "ITCM_ECCS_EFW,Read or write of the access" "0: ,1: " rgroup.long 0x40++0x03 line.long 0x00 "ITCM_ECC_SINGLE_ERROR_ADDR,ITCM single-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCS_ERRED_ADDR,ITCM single-bit ECC error address" rgroup.long 0x44++0x03 line.long 0x00 "ITCM_ECC_SINGLE_ERROR_DATA_LSB,ITCM single-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCS_ERRED_DATA_LSB,ITCM single-bit ECC error data [31:0]" rgroup.long 0x48++0x03 line.long 0x00 "ITCM_ECC_SINGLE_ERROR_DATA_MSB,ITCM single-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCS_ERRED_DATA_MSB,ITCM single-bit ECC error data [63:32]" rgroup.long 0x4C++0x03 line.long 0x00 "ITCM_ECC_MULTI_ERROR_INFO,ITCM multi-bit ECC Error Information Register" hexmask.long.word 0x00 20.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--19. 1. "ITCM_ECCM_EFSYN,ITCM multi-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--11. "ITCM_ECCM_EFPRT,ITCM multi-bit ECC error corresponding tcm_priv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "ITCM_ECCM_EFMST,ITCM multi-bit ECC error corresponding tcm_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--3. "ITCM_ECCM_EFSIZ,ITCM multi-bit ECC error corresponding tcm access size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "ITCM_ECCM_EFW,ITCM multi-bit ECC error corresponding tcm_wr value" "0,1" rgroup.long 0x50++0x03 line.long 0x00 "ITCM_ECC_MULTI_ERROR_ADDR,ITCM multi-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCM_ERRED_ADDR,ITCM multi-bit ECC error address" rgroup.long 0x54++0x03 line.long 0x00 "ITCM_ECC_MULTI_ERROR_DATA_LSB,ITCM multi-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCM_ERRED_DATA_LSB,ITCM multi-bit ECC error data [31:0]" rgroup.long 0x58++0x03 line.long 0x00 "ITCM_ECC_MULTI_ERROR_DATA_MSB,ITCM multi-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "ITCM_ECCM_ERRED_DATA_MSB,ITCM multi-bit ECC error data [63:32]" rgroup.long 0x5C++0x03 line.long 0x00 "CODE_TCM_ECC_SINGLE_ERROR_INFO,CODE_TCM single-bit ECC Error Information Register" hexmask.long.word 0x00 19.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--18. 1. "CODE_TCM_ECCS_EFSYN,CODE_TCM single-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--11. "CODE_TCM_ECCS_EFPRT,CODE_TCM single-bit ECC error corresponding tcm_priv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CODE_TCM_ECCS_EFMST,CODE_TCM single-bit ECC error corresponding tcm_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--3. "CODE_TCM_ECCS_EFSIZ,CODE_TCM single-bit ECC error corresponding tcm access size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CODE_TCM_ECCS_EFW,CODE_TCM single-bit ECC error corresponding tcm_wr value" "0,1" rgroup.long 0x60++0x03 line.long 0x00 "CODE_TCM_ECC_SINGLE_ERROR_ADDR,CODE_TCM single-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "CODE_TCM_ECCS_ERRED_ADDR,CODE_TCM single-bit ECC error address" rgroup.long 0x64++0x03 line.long 0x00 "CODE_TCM_ECC_SINGLE_ERROR_DATA,CODE_TCM single-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "CODE_TCM_ECCS_ERRED_DATA,CODE_TCM single-bit ECC error data" rgroup.long 0x68++0x03 line.long 0x00 "CODE_TCM_ECC_MULTI_ERROR_INFO,CODE_TCM multi-bit ECC Error Information Register" hexmask.long.word 0x00 19.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--18. 1. "CODE_TCM_ECCM_EFSYN,CODE_TCM multi-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--11. "CODE_TCM_ECCM_EFPRT,CODE_TCM multi-bit ECC error corresponding tcm_priv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CODE_TCM_ECCM_EFMST,CODE_TCM multi-bit ECC error corresponding tcm_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--3. "CODE_TCM_ECCM_EFSIZ,CODE_TCM multi-bit ECC error corresponding tcm access size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CODE_TCM_ECCM_EFW,CODE_TCM multi-bit ECC error corresponding tcm_wr value" "0,1" rgroup.long 0x6C++0x03 line.long 0x00 "CODE_TCM_ECC_MULTI_ERROR_ADDR,CODE_TCM multi-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "CODE_TCM_ECCM_ERRED_ADDR,CODE_TCM multi-bit ECC error address" rgroup.long 0x70++0x03 line.long 0x00 "CODE_TCM_ECC_MULTI_ERROR_DATA,CODE_TCM multi-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "CODE_TCM_ECCM_ERRED_DATA,CODE_TCM multi-bit ECC error data" rgroup.long 0x74++0x03 line.long 0x00 "SYS_TCM_ECC_SINGLE_ERROR_INFO,SYS_TCM single-bit ECC Error Information Register" hexmask.long.word 0x00 19.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--18. 1. "SYS_TCM_ECCS_EFSYN,SYS_TCM single-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--11. "SYS_TCM_ECCS_EFPRT,SYS_TCM single-bit ECC error corresponding tcm_priv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "SYS_TCM_ECCS_EFMST,SYS_TCM single-bit ECC error corresponding tcm_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--3. "SYS_TCM_ECCS_EFSIZ,SYS_TCM single-bit ECC error corresponding tcm access size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SYS_TCM_ECCS_EFW,SYS_TCM single-bit ECC error corresponding tcm_wr value" "0,1" rgroup.long 0x78++0x03 line.long 0x00 "SYS_TCM_ECC_SINGLE_ERROR_ADDR,SYS_TCM single-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "SYS_TCM_ECCS_ERRED_ADDR,SYS_TCM single-bit ECC error address" rgroup.long 0x7C++0x03 line.long 0x00 "SYS_TCM_ECC_SINGLE_ERROR_DATA,SYS_TCM single-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "SYS_TCM_ECCS_ERRED_DATA,SYS_TCM single-bit ECC error data" rgroup.long 0x80++0x03 line.long 0x00 "SYS_TCM_ECC_MULTI_ERROR_INFO,SYS_TCM multi-bit ECC Error Information Register" hexmask.long.word 0x00 19.--31. 1. "Reserved,Reserved" newline hexmask.long.byte 0x00 12.--18. 1. "SYS_TCM_ECCM_EFSYN,SYS_TCM multi-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--11. "SYS_TCM_ECCM_EFPRT,SYS_TCM multi-bit ECC error corresponding tcm_priv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "SYS_TCM_ECCM_EFMST,SYS_TCM multi-bit ECC error corresponding tcm_master" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1.--3. "SYS_TCM_ECCM_EFSIZ,SYS_TCM multi-bit ECC error corresponding tcm access size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SYS_TCM_ECCM_EFW,SYS_TCM multi-bit ECC error corresponding tcm_wr value" "0,1" rgroup.long 0x84++0x03 line.long 0x00 "SYS_TCM_ECC_MULTI_ERROR_ADDR,SYS_TCM multi-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "SYS_TCM_ECCM_ERRED_ADDR,SYS_TCM multi-bit ECC error address" rgroup.long 0x88++0x03 line.long 0x00 "SYS_TCM_ECC_MULTI_ERROR_DATA,SYS_TCM multi-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "SYS_TCM_ECCM_ERRED_DATA,SYS_TCM multi-bit ECC error data" group.long 0x90++0x03 line.long 0x00 "ITCM_ECC_ERROR_INJEC,ITCM ECC Error Injection Register" hexmask.long.word 0x00 20.--31. 1. "Reserved,Reserved" newline bitfld.long 0x00 19. "ITCM_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on ITCM write access" "0,1" newline bitfld.long 0x00 18. "ITCM_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on ITCM write access" "0,1" newline bitfld.long 0x00 17. "ITCM_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on ITCM write access" "0,1" newline bitfld.long 0x00 16. "ITCM_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on ITCM write access" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "ITCM_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--7. 1. "ITCM_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x94++0x03 line.long 0x00 "CODE_TCM_ECC_ERROR_INJEC,CODE_TCM ECC Error Injection Register" hexmask.long.word 0x00 20.--31. 1. "Reserved,Reserved" newline bitfld.long 0x00 19. "CODE_TCM_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE_TCM write access" "0,1" newline bitfld.long 0x00 18. "CODE_TCM_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE_TCM write access" "0,1" newline bitfld.long 0x00 17. "CODE_TCM_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on CODE_TCM write access" "0,1" newline bitfld.long 0x00 16. "CODE_TCM_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on CODE_TCM write access" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "CODE_TCM_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--7. 1. "CODE_TCM_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x98++0x03 line.long 0x00 "SYS_TCM_ECC_ERROR_INJEC,SYS_TCM ECC Error Injection Register" hexmask.long.word 0x00 20.--31. 1. "Reserved,Reserved" newline bitfld.long 0x00 19. "SYS_TCM_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on SYS_TCM write access" "0,1" newline bitfld.long 0x00 18. "SYS_TCM_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on SYS_TCM write access" "0,1" newline bitfld.long 0x00 17. "SYS_TCM_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on SYS_TCM write access" "0,1" newline bitfld.long 0x00 16. "SYS_TCM_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on SYS_TCM write access" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "SYS_TCM_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--7. 1. "SYS_TCM_ERR1BIT,Bit position of the bit to inject ECC Error" tree.end tree "D_IP_ROBLK" base ad:0x44484000 group.long 0x00++0x03 line.long 0x00 "CTRL1,Control Register CTRL" bitfld.long 0x00 24.--26. "DIV,Programmable Divider bits" "0: Divide by 1,1: Divide by 2,2: Divide by 4,3: Divide by 8,4: Divide by 16,5: Divide by 32,6: Divide by 64,7: Divide by 128" hexmask.long.tbyte 0x00 0.--17. 1. "EN,18 Dut Ring Oscillator enable" group.long 0x04++0x03 line.long 0x00 "CTRL2,Control Register CTRL2" hexmask.long.tbyte 0x00 0.--17. 1. "CLKGEN,18 Dut Ring Oscillator (RO) Clock Gate enable" tree.end tree "DDRC (DDR Controller)" base ad:0x4E300000 group.long 0x20++0x03 line.long 0x00 "REMAP_0A,Remap Region 0A Configuration" bitfld.long 0x00 31. "REG_0_REMAP_EN,Region 0 Remap Enable" "0: DISABLES,1: ENABLES" newline hexmask.long.word 0x00 0.--11. 1. "REG_0_REMAP_ADDR,Region 0 Remap Starting Address" group.long 0x24++0x03 line.long 0x00 "REMAP_0B,Remap Region 0B Configuration" hexmask.long.word 0x00 16.--27. 1. "REG_0_SA,Region 0 Starting Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_0_EA,Region 0 Ending Address" group.long 0x28++0x03 line.long 0x00 "REMAP_1A,Remap Region 1A Configuration" bitfld.long 0x00 31. "REG_1_REMAP_EN,Region 1 Remap Enable" "0: DISABLES,1: ENABLES" newline hexmask.long.word 0x00 0.--11. 1. "REG_1_REMAP_ADDR,Region 1 Remap Starting Address" group.long 0x2C++0x03 line.long 0x00 "REMAP_1B,Remap Region 1B Configuration" hexmask.long.word 0x00 16.--27. 1. "REG_1_SA,Region 1 Starting Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_1_EA,Region 1 Ending Address" group.long 0x30++0x03 line.long 0x00 "REMAP_2A,Remap Region 2A Configuration" bitfld.long 0x00 31. "REG_2_REMAP_EN,Region 2 Remap Enable" "0: DISABLES,1: ENABLES" newline hexmask.long.word 0x00 0.--11. 1. "REG_2_REMAP_ADDR,Region 2 Remap Starting Address" group.long 0x34++0x03 line.long 0x00 "REMAP_2B,Remap Region 2B Configuration" hexmask.long.word 0x00 16.--27. 1. "REG_2_SA,Region 2 Starting Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_2_EA,Region 2 Ending Address" group.long 0x38++0x03 line.long 0x00 "REMAP_3A,Remap Region 3A Configuration" bitfld.long 0x00 31. "REG_3_REMAP_EN,Region 3 Remap Enable" "0: DISABLES,1: ENABLES" newline hexmask.long.word 0x00 0.--11. 1. "REG_3_REMAP_ADDR,Region 3 Remap Starting Address" group.long 0x3C++0x03 line.long 0x00 "REMAP_3B,Remap Region 3B Configuration" hexmask.long.word 0x00 16.--27. 1. "REG_3_SA,Region 3 Starting Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_3_EA,Region 3 Ending Address" group.long 0x40++0x03 line.long 0x00 "DDR_ADDR_DEC_0,DDRC Address Decode 0" bitfld.long 0x00 26.--31. "ROW17_OVRD,Row 17 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "ROW16_OVRD,Row 16 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "ROW15_OVRD,Row 15 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "ROW14_OVRD,Row 14 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x44++0x03 line.long 0x00 "DDR_ADDR_DEC_1,DDRC Address Decode 1" bitfld.long 0x00 26.--31. "ROW13_OVRD,Row 13 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "ROW12_OVRD,Row 12 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "ROW11_OVRD,Row 11 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "ROW10_OVRD,Row 10 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x48++0x03 line.long 0x00 "DDR_ADDR_DEC_2,DDRC Address Decode 2" bitfld.long 0x00 26.--31. "ROW9_OVRD,Row 9 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "ROW8_OVRD,Row 8 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "ROW7_OVRD,Row 7 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "ROW6_OVRD,Row 6 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x4C++0x03 line.long 0x00 "DDR_ADDR_DEC_3,DDRC Address Decode 3" bitfld.long 0x00 26.--31. "ROW5_OVRD,Row 5 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "ROW4_OVRD,Row 4 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "ROW3_OVRD,Row 3 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "ROW2_OVRD,Row 2 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x50++0x03 line.long 0x00 "DDR_ADDR_DEC_4,DDRC Address Decode 4" bitfld.long 0x00 26.--31. "ROW1_OVRD,Row 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "ROW0_OVRD,Row 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "COL10_OVRD,Col 10 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "COL9_OVRD,Col 9 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x54++0x03 line.long 0x00 "DDR_ADDR_DEC_5,DDRC Address Decode 5" bitfld.long 0x00 26.--31. "COL8_OVRD,Col 8 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "COL7_OVRD,Col 7 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "COL6_OVRD,Col 6 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "COL5_OVRD,Col 5 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x58++0x03 line.long 0x00 "DDR_ADDR_DEC_6,DDRC Address Decode 6" bitfld.long 0x00 26.--31. "COL4_OVRD,Col 4 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "COL3_OVRD,Col 3 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "COL2_OVRD,Col 2 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "COL1_OVRD,Col 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x5C++0x03 line.long 0x00 "DDR_ADDR_DEC_7,DDRC Address Decode 7" bitfld.long 0x00 26.--31. "COL0_OVRD,Col 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "BA1_OVRD,Bank 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "BA0_OVRD,Bank 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "CID1_OVRD,CID 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x60++0x03 line.long 0x00 "DDR_ADDR_DEC_8,DDRC Address Decode 8" bitfld.long 0x00 26.--31. "CID0_OVRD,CID 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "CS1_OVRD,Interleaved Rank 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--15. "CS0_OVRD,Interleaved Rank 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "BG1_OVRD,Bank Group 1 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x64++0x03 line.long 0x00 "DDR_ADDR_DEC_9,DDRC Address Decode 9" bitfld.long 0x00 26.--31. "BG0_OVRD,Bank Group 0 Override" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0. "ADDR_DEC_OVRD,Address Decode Override" "0,1" group.long 0x80++0x03 line.long 0x00 "CS0_CONFIG,Rank 0 Configuration" bitfld.long 0x00 31. "CS_EN,Rank Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 29.--30. "INTLV_EN,Interleaving Enable" "0: No interleaving,1: Interleaving between 2 memory controllers,2: Interleaving among 4 memory controllers,?..." newline bitfld.long 0x00 24.--27. "INTLV_CTL,Interleaving Control" "0: Cache line interleaving,1: Page interleaving,2: Bank interleaving,3: Super-bank interleaving,?..." newline bitfld.long 0x00 23. "AP_EN,Auto-Precharge Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 20.--22. "ODT_RD_CFG,ODT Read Configuration" "0: ODT never asserted for reads,1: ODT asserted only during reads from CSn,2: ODT asserted only during reads from the other..,?,4: Assert ODT for all reads,?..." newline bitfld.long 0x00 16.--18. "ODT_WR_CFG,ODT Write Configuration" "0: ODT never asserted for writes,1: ODT asserted only during writes to CSn,2: ODT asserted only during writes to other CSs,?,4: ODT asserted for all writes,?..." newline bitfld.long 0x00 8.--10. "ROW_BITS_CS,Row Bits" "0: BITS_12,1: BITS_13,2: BITS_14,3: BITS_15,4: BITS_16,5: BITS_17,?..." newline bitfld.long 0x00 4.--5. "BG_BITS_CS,Bank Group Bits" "0: BITS_0,1: Must be set to 1 to enable the 3rd bank..,?..." newline bitfld.long 0x00 0.--2. "COL_BITS_CS,Column Bits" "0: BITS_8,1: BITS_9,2: BITS_10,3: BITS_11,?,?,?,7: BITS_7" group.long 0x84++0x03 line.long 0x00 "CS1_CONFIG,Rank 1 Configuration" bitfld.long 0x00 31. "CS_EN,Rank Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 23. "AP_EN,Auto-Precharge Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 20.--22. "ODT_RD_CFG,ODT Read Configuration" "0: ODT never asserted for reads,1: ODT asserted only during reads from CSn,2: ODT asserted only during reads from the other..,?,4: Assert ODT for all reads,?..." newline bitfld.long 0x00 16.--18. "ODT_WR_CFG,ODT Write Configuration" "0: ODT never asserted for writes,1: ODT asserted only during writes to CSn,2: ODT asserted only during writes to other CSs,?,4: ODT asserted for all writes,?..." newline bitfld.long 0x00 8.--10. "ROW_BITS_CS,Row Bits" "0: BITS_12,1: BITS_13,2: BITS_14,3: BITS_15,4: BITS_16,5: BITS_17,?..." newline bitfld.long 0x00 4.--5. "BG_BITS_CS,Bank Group Bits" "0: BITS_0,1: Must be set to 1 to enable the 3rd bank..,?..." newline bitfld.long 0x00 0.--2. "COL_BITS_CS,Column Bits" "0: BITS_8,1: BITS_9,2: BITS_10,3: BITS_11,?,?,?,7: BITS_7" group.long 0x100++0x03 line.long 0x00 "TIMING_CFG_3,DDR SDRAM Timing Configuration 3" bitfld.long 0x00 28.--29. "EXT_PRETOACT,Extended Precharge-To-Activate Time" "0,1,2,3" newline bitfld.long 0x00 24.--26. "EXT_ACTTOPRE,Extended Activate-To-Precharge Time" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22.--23. "EXT_ACTTORW,Extended Activate To Read Or Write Time" "0,1,2,3" newline bitfld.long 0x00 16.--21. "EXT_REFREC,Extended Refresh Recovery" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 12.--14. "EXT_CASLAT,Extended CAS Latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "EXT_WR_LAT_2,Extended Write Latency 2" "0,1" newline bitfld.long 0x00 10. "SPARE_CNFG2,Spare Config" "0,1" newline bitfld.long 0x00 8.--9. "EXT_WRREC,Extended Write Recovery" "0,1,2,3" newline bitfld.long 0x00 4.--5. "EXT_CKE_PLS,Extended MCKE Pulse" "0,1,2,3" newline bitfld.long 0x00 3. "EXT_FOUR_ACT,Extended Four Activate" "0,1" newline bitfld.long 0x00 2. "SPARE_CNFG,Spare Config" "0,1" newline bitfld.long 0x00 1. "EXT_ACTTOACT,Extended Activate-To-Activate Time" "0,1" newline bitfld.long 0x00 0. "EXT_WRTORD,Extended Write-To-Read Time" "0,1" group.long 0x104++0x03 line.long 0x00 "TIMING_CFG_0,DDR SDRAM Timing Configuration 0" bitfld.long 0x00 30.--31. "RWT,Read-To-Write Turnaround" "0,1,2,3" newline bitfld.long 0x00 28.--29. "WRT,Write-To-Read Turnaround" "0,1,2,3" newline bitfld.long 0x00 26.--27. "RRT,Read-To-Read Turnaround" "0,1,2,3" newline bitfld.long 0x00 24.--25. "WWT,Write-To-Write Turnaround" "0,1,2,3" newline bitfld.long 0x00 20.--23. "ACT_PD_EXIT,Active Powerdown Exit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "PRE_PD_EXIT,Precharge Power-Down Exit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "EXT_PRE_PD_EXIT,Extended Precharge Power-Down Exit" "0,1,2,3" newline bitfld.long 0x00 12. "EXT_ACT_PD_EXIT,Extended Active Power-Down Exit" "0,1" newline bitfld.long 0x00 0.--5. "MRS_CYC,MRW Cycle Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x108++0x03 line.long 0x00 "TIMING_CFG_1,DDR SDRAM Timing Configuration 1" bitfld.long 0x00 28.--31. "PRETOACT,Precharge-To-Activate Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "ACTTOPRE,Activate-To-Precharge Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "ACTTORW,Activate To Read Or Write" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. "CASLAT,CAS Latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--15. "REFREC,Refresh Recovery" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WRREC,Write Recovery" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "ACTTOACT,Activate-To-Activate Interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WRTORD,Write-To-Read Interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10C++0x03 line.long 0x00 "TIMING_CFG_2,DDR SDRAM Timing Configuration 2" bitfld.long 0x00 28.--31. "DERATE_VAL,Derate Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19.--22. "WR_LAT,Write Latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18. "EXT_WR_LAT,Extended Write Latency" "0,1" newline bitfld.long 0x00 13.--17. "RD_TO_PRE,Read-To-Precharge Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--8. "CKE_PLS,MCKE Pulse" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. "FOUR_ACT,Four Activate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x110++0x03 line.long 0x00 "DDR_SDRAM_CFG,DDR SDRAM Control Configuration" bitfld.long 0x00 31. "MEM_EN,DDRC Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 30. "SREN,Self-Refresh Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 28. "RD_EN,Registered DIMM Enable" "0: UNBUFFERED,1: REGISTERED" newline bitfld.long 0x00 24.--26. "SDRAM_TYPE,DDR SDRAM Type" "?,?,?,?,4: LPDDR4X SDRAM,?..." newline bitfld.long 0x00 21. "DYN_PWR,Dynamic Power Management" "0: DPM_NO,1: DPM_YES" newline bitfld.long 0x00 19.--20. "DBW,DDR SDRAM Data Bus Width" "?,?,2: BITS_16,?..." newline bitfld.long 0x00 18. "BE_8,8-Beat Burst Enable" "?,1: 16-beat bursts used on the DDR SDRAM interface" newline hexmask.long.byte 0x00 8.--14. 1. "BA_INTLV_CTL,Rank interleaving control" newline bitfld.long 0x00 2. "RSRVD,Reserved" "0,1" newline bitfld.long 0x00 1. "MEM_HALT,DDRC Halt" "0: Accepts new transactions,1: Completes any remaining transactions and.." newline bitfld.long 0x00 0. "BI,Bypass Initialization" "?,1: Initialization routine is bypassed" group.long 0x114++0x03 line.long 0x00 "DDR_SDRAM_CFG_2,DDR SDRAM Control Configuration 2" bitfld.long 0x00 31. "FRC_SR,Force Self-Refresh" "0: Normal mode,1: Self-Refresh mode" newline bitfld.long 0x00 24.--27. "MCK_DIS,MCK Disable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "NUM_PR,Number Of Posted Refreshes" "?,1: POSTED_1,2: POSTED_2,3: POSTED_3,4: POSTED_4,5: POSTED_5,6: POSTED_6,7: POSTED_7,8: POSTED_8,?..." newline bitfld.long 0x00 10. "X4_EN,x4 DDR SDRAM enable" "0: x8 or x16 memories are used such that 1..,1: x4 memories are used such that 1 DQS/DQS_B.." newline bitfld.long 0x00 9. "QD_EN,Quad-Rank Enable" "0: Not used,1: USED_YES" newline bitfld.long 0x00 8. "RSRVD,Reserved" "0,1" newline bitfld.long 0x00 5.--6. "SPARE_CNFG3,Spare Config" "0,1,2,3" newline bitfld.long 0x00 4. "D_INIT,DDR SDRAM Data Initialization" "0: No data initialization in progress and none..,1: DDRC to initialize the DDR SDRAM after DDRC.." newline bitfld.long 0x00 3. "SPARE_CNFG2,Spare Config" "0,1" newline bitfld.long 0x00 0. "SPARE_CNFG,Spare Config" "0,1" group.long 0x120++0x03 line.long 0x00 "DDR_SDRAM_MD_CNTL,DDR SDRAM Mode Control" bitfld.long 0x00 31. "MD_EN,Mode Enable" "0: Does not need to be issued,1: Valid data contained in the register ready to.." newline bitfld.long 0x00 28.--30. "CS_SEL,Select Rank" "0: CS_0,1: CS_1,?,?,4: 0 and 1,5: 2 and 3,?..." newline bitfld.long 0x00 24.--27. "MD_SEL,Mode Register Select" "0: MR,1: EMR,2: EMR2,3: EMR3,?..." newline bitfld.long 0x00 23. "SET_REF,Set Refresh" "0: CMD_NO,1: CMD_YES" newline bitfld.long 0x00 22. "SET_PRE,Set Precharge" "0: CMD_NO,1: CMD_YES" newline bitfld.long 0x00 20.--21. "CKE_CNTL,Clock Enable Control" "0: Not forced,1: Forced to a lower value,2: Forced to a higher value,?..." newline hexmask.long.tbyte 0x00 0.--17. 1. "MD_VALUE,Mode Register Value" group.long 0x124++0x03 line.long 0x00 "DDR_SDRAM_INTERVAL,DDR SDRAM Interval Configuration" hexmask.long.word 0x00 16.--31. 1. "REFINT,Refresh Interval" newline hexmask.long.word 0x00 0.--13. 1. "BSTOPRE,Precharge Interval" group.long 0x128++0x03 line.long 0x00 "DDR_DATA_INIT,DDR SDRAM Data Initialization" hexmask.long 0x00 0.--31. 1. "INIT_VALUE,Initialization Value" group.long 0x160++0x03 line.long 0x00 "TIMING_CFG_4,DDR SDRAM Timing Configuration 4" bitfld.long 0x00 28.--31. "RWT,Read-To-Write Turnaround For Same Rank" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "WRT,Write-To-Read Turnaround For Same Rank" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "RRT,Read-To-Read Turnaround For Same Rank" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "WWT,Write-To-Write Turnaround For Same Rank" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 14.--15. "EXT_RWT,Extended Read-To-Write Turnaround" "0,1,2,3" newline bitfld.long 0x00 12.--13. "EXT_WRT,Extended Write-To-Read Turnaround" "0,1,2,3" newline bitfld.long 0x00 10.--11. "EXT_RRT,Extended Read-To-Read Turnaround" "0,1,2,3" newline bitfld.long 0x00 8.--9. "EXT_WWT,Extended Write-To-Write Turnaround" "0,1,2,3" newline bitfld.long 0x00 4. "EXT_REFINT,Extended Refresh Interval" "0: INT_0,1: INT_65536" newline bitfld.long 0x00 0.--1. "DLL_LOCK,DDR SDRAM DLL Lock Time" "0: TIME_200,1: TIME_512,?..." group.long 0x164++0x03 line.long 0x00 "TIMING_CFG_5,DDR SDRAM Timing Configuration 5" group.long 0x16C++0x03 line.long 0x00 "TIMING_CFG_7,DDR SDRAM Timing Configuration 7" bitfld.long 0x00 28.--29. "CKE_RST,MCKE Reset Time" "0: CYCLES_200,1: CYCLES_256,2: CYCLES_512,3: CYCLES_4096" newline bitfld.long 0x00 24.--27. "CKSRE,Clock After Self-Refresh Entry" "0: CYCLES_15_0,1: CYCLES_6,2: CYCLES_7,3: CYCLES_8,4: CYCLES_9,5: CYCLES_10,6: CYCLES_11,7: CYCLES_12,8: CYCLES_13,9: CYCLES_14,10: CYCLES_15_10,11: CYCLES_16,12: CYCLES_17,13: CYCLES_18,14: CYCLES_19,15: CYCLES_32" newline bitfld.long 0x00 20.--23. "CKSRX,Clock After Self-Refresh Exit" "0: CYCLES_15_0,1: CYCLES_6,2: CYCLES_7,3: CYCLES_8,4: CYCLES_9,5: CYCLES_10,6: CYCLES_11,7: CYCLES_12,8: CYCLES_13,9: CYCLES_14,10: CYCLES_15_10,11: CYCLES_16,12: CYCLES_17,13: CYCLES_18,14: CYCLES_19,15: CYCLES_32" newline bitfld.long 0x00 16.--19. "PAR_LAT,Parity latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CS_TO_CMD,Rank to command latency" "0: DISABLED,?,2: CYCLES_2,?,4: CYCLES_4,?,6: CYCLES_6,?,8: CYCLES_8,?..." group.long 0x170++0x03 line.long 0x00 "DDR_ZQ_CNTL,DDR SDRAM ZQ Calibration Control" bitfld.long 0x00 31. "ZQ_EN,ZQ Calibration Enable" "0: USED_YES,1: Not used" newline bitfld.long 0x00 24.--27. "ZQINIT,ZQ Calibration Initialization Time" "?,?,?,?,?,?,?,7: CYCLES_128,8: CYCLES_256,9: CYCLES_512,10: CYCLES_1024,11: CYCLES_2048,?..." newline bitfld.long 0x00 16.--19. "ZQOPER,ZQ Calibration Operation Time" "?,?,?,?,?,?,?,7: CYCLES_128,8: CYCLES_256,9: CYCLES_512,10: CYCLES_1024,11: CYCLES_2048,?..." newline bitfld.long 0x00 8.--11. "ZQCS,ZQ Calibration Short Time" "0: CYCLES_1,1: CYCLES_2,2: CYCLES_4,3: CYCLES_8,4: CYCLES_16,5: CYCLES_32,6: CYCLES_64,7: CYCLES_128,8: CYCLES_256,9: CYCLES_512,?..." newline bitfld.long 0x00 0.--3. "ZQCS_INT,ZQCS Interval" "0: SEQ_32,1: SEQ_64,2: SEQ_128,3: SEQ_256,4: SEQ_512,5: SEQ_1024,6: SEQ_2048,7: SEQ_4096,8: SEQ_8192,9: SEQ_16384,10: SEQ_32768,?,?,?,?,15: ZQCS calibration disabled" group.long 0x17C++0x03 line.long 0x00 "DDR_SR_CNTR,DDR SDRAM Self-Refresh Counter" bitfld.long 0x00 16.--19. "SR_IT,Self-Refresh Idle Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x250++0x03 line.long 0x00 "TIMING_CFG_8,DDR SDRAM Timing Configuration 8" bitfld.long 0x00 28.--31. "RWT_BG,Read-To-Write Turnaround For Same Rank And Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "WRT_BG,Write-To-Read Turnaround For Same Rank And Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "RRT_BG,Read-To-Read Turnaround For Same Rank And Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "WWT_BG,Write-To-Write Turnaround For Same CS And Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "ACTTOACT_BG,Activate-To-Activate Same Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WRTORD_BG,Write-To-Read Same Bank Group" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EXT_WRTORD_BG,Extended Write-To-Read Same Bank Group" "0: CYCLES_0,1: CYCLES_16" newline bitfld.long 0x00 0.--5. "PRE_ALL_REC,Precharge All-To-Activate Interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x254++0x03 line.long 0x00 "TIMING_CFG_9,DDR SDRAM timing configuration 9" hexmask.long.word 0x00 16.--25. 1. "REFREC_PB,Refresh Recovery Same ChipID" newline bitfld.long 0x00 10.--11. "SPARE_CNFG,Spare Config" "0,1,2,3" newline hexmask.long.word 0x00 0.--9. 1. "REFTOREF_PB,Refresh-to-refresh interval for per-bank refresh" group.long 0x258++0x03 line.long 0x00 "TIMING_CFG_10,DDR SDRAM Timing Configuration 10" hexmask.long.word 0x00 0.--14. 1. "T_STAB,Stabilization Wait Time" group.long 0x25C++0x03 line.long 0x00 "TIMING_CFG_11,DDR SDRAM Timing Configuration 11" bitfld.long 0x00 8.--11. "PRE_TO_PRE,Precharge-To-Precharge Time" "0: CLKS_4_0,1: CLOCK_1,2: CLOCKS_2,3: CLOCKS_3,4: CLKS_4_4,5: CLOCKS_5,6: CLOCKS_6,7: CLOCKS_7,8: CLOCKS_8,9: CLOCKS_9,10: CLOCKS_10,11: CLOCKS_11,12: CLOCKS_12,13: CLOCKS_13,14: CLOCKS_14,15: CLOCKS_15" newline bitfld.long 0x00 0.--3. "MWWT,Masked Write-To-Write Turnaround (tCCDMW)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x260++0x03 line.long 0x00 "DDR_SDRAM_CFG_3,DDR SDRAM Control Configuration 3" bitfld.long 0x00 31. "DDRC_RST,DDRC Reset" "0: Operating normally,1: Undergoing reset" newline bitfld.long 0x00 30. "ECC_FIX_EN,ECC Fixing Enable" "0: DISABLED,1: ENABLES" newline bitfld.long 0x00 24.--27. "ECC_SCRUB_INT,ECC Scrubbing Interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--21. "CMD_QUEUE_DPTH,Command Queue Depth" "0: ENTRIES_8,1: ENTRIES_6,2: ENTRIES_5,3: ENTRIES_3" newline bitfld.long 0x00 19. "RSRVD_2,Reserved" "0,1" newline bitfld.long 0x00 18. "GEARDOWN_EN,Geardown Enable" "0: Geardown mode will not be used by the DDR..,1: Geardown mode will be used" newline bitfld.long 0x00 12.--14. "DM_CFG,Data Mask Configuration" "0: Normal data masks based on the settings..,?,2: MDM_PINS,3: Neither data masks nor DBI,4: DBI with data masks,?..." newline bitfld.long 0x00 11. "DRAIN_FOR_SR,Drain Queues For Self-Refresh" "0: Do not drain,1: DRAINS" newline bitfld.long 0x00 8.--9. "REF_MODE,Refresh Mode" "0: Fine granularity refresh disabled,1: 2x fine granularity refresh mode,2: 4x fine granularity refresh mode,?..." newline bitfld.long 0x00 7. "DYN_REF_RATE_EN,Dynamic Refresh Rate Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 4.--5. "SPARE_CNFG,Spare Config" "0,1,2,3" newline bitfld.long 0x00 3. "NON_PWR_2,Non Power of 2 Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 2. "RSRVD,Reserved" "0,1" newline bitfld.long 0x00 1. "SR_FAST_WK_EN,Self Refresh Fast Wakeup Enable" "0: SLOW_WAKEUP,1: FAST_WAKEUP" group.long 0x264++0x03 line.long 0x00 "DDR_SDRAM_CFG_4,DDR SDRAM Control Configuration 4" bitfld.long 0x00 28.--31. "DFI_WAKEUP,DFI Wakeup" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "RESET_OVRD_EN,Reset Override Enable" "0,1" newline bitfld.long 0x00 26. "RESET_OVRD_VAL,Reset Override Value" "0,1" newline bitfld.long 0x00 25. "PWROK_OVRD_EN,PwrOkIn Override Enable" "0,1" newline bitfld.long 0x00 24. "PWROK_OVRD_VAL,PwrOkIn Override Value" "0,1" newline bitfld.long 0x00 17.--21. "DFI_FREQ_HS,DFI Frequency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--16. "DFI_FREQ,DFI Frequency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 9.--11. "BLK_WR_WR,Block Write-To" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--8. "BLK_RD_RD,Block Read-To-Read" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--5. "BLK_WR_RD,Block Write-To-Read" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. "BLK_RD_WR,Block Read-To" "0,1,2,3,4,5,6,7" group.long 0x270++0x03 line.long 0x00 "DDR_SDRAM_MD_CNTL2,DDR SDRAM mode control 2" bitfld.long 0x00 31. "MPRR,Multi-purpose register" "0: A multi-purpose register read will not be..,1: A multi-purpose register read will be issued.." newline bitfld.long 0x00 30. "MPRW,Multi-purpose register" "0: A multi-purpose register write will not be..,1: A multi-purpose register write will be issued.." newline bitfld.long 0x00 29. "NOP_EN,NOP Enable" "0: A NOP will not be issued,1: A NOP will be issued when.." newline bitfld.long 0x00 20. "PDA_EN,Per DDR SDRAM Addressability Enable" "0: All DRAMs withing the current CS are accessed,1: Per DDR SDRAM addressability is enabled for.." newline bitfld.long 0x00 18.--19. "MPRR_RD_SEL,MPRR read select" "0,1,2,3" newline hexmask.long.tbyte 0x00 0.--17. 1. "DQ_CNTL,Data control" repeat 4. (strings "1" "2" "3" "4" )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "DDR_SDRAM_MPR$1,DDR SDRAM multi-purpose register $1" hexmask.long 0x00 0.--31. 1. "MPR_READ,MPR Read Value" repeat.end group.long 0x290++0x03 line.long 0x00 "DDR_SDRAM_MPR5,DDR SDRAM multi-purpose register 5" hexmask.long.word 0x00 16.--31. 1. "MPR_READ,MPR Read Value" newline bitfld.long 0x00 0. "MPR_VLD,MPR Valid" "0: The multi-purpose register read data..,1: The multi-purpose register read data.." rgroup.long 0x2C0++0x03 line.long 0x00 "DDR_SDRAM_REF_RATE,DDR Refresh Rate" hexmask.long.byte 0x00 8.--15. 1. "REF_RATE_CS0,Refresh Rate Rank 0" newline hexmask.long.byte 0x00 0.--7. 1. "REF_RATE_CS1,Refresh Rate Rank 1" group.long 0x300++0x03 line.long 0x00 "TIMING_CFG_12,DDR SDRAM Timing Configuration 12" bitfld.long 0x00 24.--29. "PRETOACT_HS,Precharge-To-Activate Time For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 16.--22. 1. "ACTTOPRE_HS,Activate-To-Precharge Time For Half Speed" newline bitfld.long 0x00 8.--13. "ACTTORW_HS,Activate To Read Or Write For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. "CASLAT_HS,CAS Latency For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x304++0x03 line.long 0x00 "TIMING_CFG_13,DDR SDRAM Timing Configuration 13" hexmask.long.word 0x00 16.--25. 1. "REFREC_HS,Refresh Recovery For Half Speed" newline bitfld.long 0x00 8.--13. "WRREC_HS,Write Recovery For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--4. "ACTTOACT_HS,Activate-To-Activate Interval For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x308++0x03 line.long 0x00 "TIMING_CFG_14,DDR SDRAM Timing Configuration 14" bitfld.long 0x00 24.--29. "WRLAT_HS,Write Latency For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--22. "RD_TO_PRE_HS,Read-To-Precharge Time For Half Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 0.--16. 1. "REFINT_HS,Refresh Interval For Half Speed" group.long 0x800++0x03 line.long 0x00 "TX_CFG_1,Transaction Configuration Register 1" bitfld.long 0x00 30. "HWA_EN,Hardware Assert Enable" "0: Disable eDDRTQ hardware assert signaling,1: Enable eDDRTQ hardware assert signaling" newline bitfld.long 0x00 28. "SER,Serialize" "0: Reordering is permitted,1: All transactions are serialized" newline bitfld.long 0x00 26. "LT_REOD_DIS,Large Transaction Reorder Disable" "0,1" newline bitfld.long 0x00 25. "INF_RD_CNT,Infinite Read Count" "0,1" newline bitfld.long 0x00 24. "INF_WR_CNT,Infinite Write Count" "0,1" newline bitfld.long 0x00 21. "HPR,High Priority" "0: New high priority read does not truncate a..,1: New high priority read can truncate a write run" newline bitfld.long 0x00 20. "BAD_DD,Bad Dropdown" "0: A bad pending read does not trigger a dropdown,1: A bad pending read does trigger a dropdown" newline bitfld.long 0x00 18.--19. "NRM_OCC,Normal Occupancy" "0: Disable occupancy check of the read normal..,1: Read queue considered occupied if 2 or less..,2: Read queue considered occupied if 4 or less..,3: Read queue considered occupied if 8 or less.." newline bitfld.long 0x00 17. "RDFC_DIS,Read Data Flow Control Disabled" "0,1" newline bitfld.long 0x00 7.--11. "TS_DEPTH,Transaction Scheduler Depth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6. "NO_COS,No Quality of Service" "0: Allow 3 priorities for reads,1: All reads are forced into the high priority.." newline bitfld.long 0x00 5. "WEAP_BLOCK_DIS,Write Estimated Activate to Precharge Block Disable" "0: Block write transaction from winning..,1: A write transaction that is bad and EAP has.." newline bitfld.long 0x00 4. "REAP_BLOCK_DIS,Read Estimated Activate to Precharge Block Disable" "0: Block write transaction from winning..,1: A read transaction that is bad and EAP has.." newline bitfld.long 0x00 0.--3. "WWATER,Write Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x804++0x03 line.long 0x00 "TX_CFG_2,Transaction Configuration Register 2" bitfld.long 0x00 31. "WR_EPA_DIS,Write Precharge to Activate Disable" "0,1" newline bitfld.long 0x00 30. "RD_EPA_DIS,Read Precharge to Activate Disable" "0,1" newline bitfld.long 0x00 29. "RSRVD_1,Reserved" "0,1" newline bitfld.long 0x00 24.--28. "RD_CNT,Read Bandwidth Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 21.--23. "RSRVD_2,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "RD_BONUS,Read Bandwidth Bonus Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 13.--15. "RSRVD_3,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "WR_CNT,Write Bandwidth Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5.--7. "RSRVD_4,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "WR_BONUS,Write Bandwidth Bonus Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x808++0x03 line.long 0x00 "TX_CFG_3,Transaction Configuration Register 3" bitfld.long 0x00 27.--31. "RHI_DD,Read High Dropdown" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24.--26. "RSRVD_1,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19.--23. "RMED_DD,Read Medium Dropdown" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--18. "RSRVD_2,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11.--15. "RHI_BDD,Read High Bonus Dropdown" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "RSRVD_3,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--7. "RMED_BDD,Read Medium Bonus Dropdown" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--2. "RSRVD_4,Reserved" "0,1,2,3,4,5,6,7" group.long 0x80C++0x03 line.long 0x00 "TX_CFG_4,Transaction Configuration Register 4" bitfld.long 0x00 27.--31. "RHI_DDD,Read High Dropdown Duration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24.--26. "RSRVD_1,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19.--23. "RMED_DDD,Read Medium Dropdown Duration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--18. "RSRVD_2,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11.--15. "RHI_BDDD,Read High Bonus Dropdown Duration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "RSRVD_3,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--7. "RMED_BDDD,Read Medium Bonus Dropdown Duration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--2. "RSRVD_4,Reserved" "0,1,2,3,4,5,6,7" group.long 0xB24++0x03 line.long 0x00 "DDRDSR_2,DDR SDRAM Debug Status 2" rbitfld.long 0x00 31. "IDLE,Memory controller idle (read only)" "0: Memory controller is busy,1: Memory controller is idle" newline rbitfld.long 0x00 30. "NML,No Modified Lines" "0: EXIST_YES,1: Do not exist" newline eventfld.long 0x00 2. "PHY_INIT_CMPLT,DDR PHY Initialization Complete" "0: INIT_NOT_COMP,1: INIT_COMP" newline eventfld.long 0x00 1. "RPD_ST,Rapid Clear Of Memory Start" "0: RPD_NOT_STARTED,1: RPD_STARTED" newline eventfld.long 0x00 0. "RPD_END,Rapid Clear Of Memory End" "0: Not complete,1: RPD_COMP" rgroup.long 0xBF8++0x03 line.long 0x00 "DDR_IP_REV1,DDRC Revision 1" hexmask.long.word 0x00 16.--31. 1. "IP_ID,IP Block ID" newline hexmask.long.byte 0x00 8.--15. 1. "IP_MJ,Major Revision" newline hexmask.long.byte 0x00 0.--7. 1. "IP_MN,Minor Revision" rgroup.long 0xBFC++0x03 line.long 0x00 "DDR_IP_REV2,DDRC Revision 2" hexmask.long.byte 0x00 16.--23. 1. "IP_INT,IP Block Integration Options" newline hexmask.long.byte 0x00 8.--15. 1. "IP_ERRATA,IP Errata Version" newline hexmask.long.byte 0x00 0.--7. 1. "IP_CFG,IP Block Configuration Options" group.long 0xC00++0x03 line.long 0x00 "DDR_EOR,DDR Enhanced Optimization Register" bitfld.long 0x00 31. "RD_BDW_OPT_DIS,Read bandwidth optimization disable" "0: The read bandwidth is optimized during..,1: The read bandwidth is not optimized" newline bitfld.long 0x00 30. "ADDR_HASH_EN,Address hash enabled" "0: Address hashing is disabled,1: Address hashing is enabled" newline bitfld.long 0x00 24.--26. "RD_REOD_DIS,Read reorder disable" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. "WR_REOD_DIS,Write reorder disable" "0,1,2,3" newline bitfld.long 0x00 8. "DYN_BSTOPRE_EN,Dynamic BSTOPRE enable" "0: Dynamic BSTOPRE is disabled,1: Dynamic BSTOPRE is enabled" newline bitfld.long 0x00 0.--5. "DYN_BSTOPRE_THRSHLD,Dynamic BSTOPRE threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xD00++0x03 line.long 0x00 "DDR_MTCR,DDR SDRAM Memory Test Control" bitfld.long 0x00 31. "MT_EN,Memory Test Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 24.--25. "MT_TYP,Memory Test Type" "0: Both writes and reads,1: WRITES_ONLY,2: READS_ONLY,?..." newline bitfld.long 0x00 16.--19. "MT_TRNARND,Memory Test Turnaround" "0: Entire memory is written to before read..,1: Total write and read streams are one..,2: Total write and read streams are two..,3: Total write and read streams are four..,?..." newline bitfld.long 0x00 12.--14. "MT_TSIZ,Memory Test Transaction Size" "0: Cache-line size (64-byte cannot be used with..,1: 32-bytes,?..." newline bitfld.long 0x00 9. "MT_ADDR_EN,Memory Test Address Range Enable" "0: Memory range that the CSn_BNDS registers define,1: Memory range that the DDR_MT_ST_EXT_ADDR.." newline bitfld.long 0x00 8. "MT_ADDR2_EN,Memory Test Address Range 2 Enable" "0: Second memory range is not used,1: Memory range defined by DDR_MT_ST_EXT_ADDR2.." newline bitfld.long 0x00 0. "MT_STAT,Memory Test Status" "0: No fail detected,1: Data miscompare detected" repeat 10. (increment 0 1) (increment 0 0x04) group.long ($2+0xD20)++0x03 line.long 0x00 "DDR_MTP[$1],DDR SDRAM Memory Test Pattern n $1" hexmask.long 0x00 0.--31. 1. "DDR_PATT,DDR SDRAM Pattern" repeat.end group.long 0xD60++0x03 line.long 0x00 "DDR_MT_ST_EXT_ADDR,DDR SDRAM Memory Test Start Extended Address" hexmask.long.byte 0x00 0.--7. 1. "MT_ST_EXT_ADDR,Memory Test Start Extended Address" group.long 0xD64++0x03 line.long 0x00 "DDR_MT_ST_ADDR,DDR SDRAM Memory Test Start Address" hexmask.long 0x00 0.--31. 1. "MT_ST_ADDR,Memory Test Start Address" group.long 0xD68++0x03 line.long 0x00 "DDR_MT_END_EXT_ADDR,DDR SDRAM Memory Test End Extended Address" hexmask.long.byte 0x00 0.--7. 1. "MT_END_EXT_ADDR,Memory Test End Extended Address" group.long 0xD6C++0x03 line.long 0x00 "DDR_MT_END_ADDR,DDR SDRAM Memory Test End Address" hexmask.long 0x00 0.--31. 1. "MT_END_ADDR,Memory Test End Address" group.long 0xD70++0x03 line.long 0x00 "DDR_MT_ST_EXT_ADDR2,DDR Memory Test Start Extended Address 2" hexmask.long.byte 0x00 0.--7. 1. "MT_ST_EXT_ADDR2,Memory Test Start Extended Address 2" group.long 0xD74++0x03 line.long 0x00 "DDR_MT_ST_ADDR2,DDR Memory Test Start Address 2" hexmask.long 0x00 0.--31. 1. "MT_ST_ADDR2,Memory Test Start Address 2" group.long 0xD78++0x03 line.long 0x00 "DDR_MT_END_EXT_ADDR2,DDR Memory Test End Extended Address 2" hexmask.long.byte 0x00 0.--7. 1. "MT_END_EXT_ADDR2,Memory Test End Extended Address 2" group.long 0xD7C++0x03 line.long 0x00 "DDR_MT_END_ADDR2,DDR Memory Test End Address 2" hexmask.long 0x00 0.--31. 1. "MT_END_ADDR2,Memory Test End Address 2" group.long 0xDC0++0x03 line.long 0x00 "PMCFG_1,Performance Monitor Configuration 1" bitfld.long 0x00 31. "RD_TRANS_FILT_EN,Read Beat Filter Enable" "0,1" newline bitfld.long 0x00 30. "WR_TRANS_FILT_EN,Read Beat Filter Enable" "0,1" newline bitfld.long 0x00 29. "RD_BT_FILT_EN,Read Beat Filter Enable" "0,1" newline hexmask.long.tbyte 0x00 0.--17. 1. "ID_MSK,ID Mask for Filtering" group.long 0xDC4++0x03 line.long 0x00 "PMCFG_2,Performance Monitor Configuration 2" hexmask.long.tbyte 0x00 0.--17. 1. "ID,ID For Filtering" group.long 0xE00++0x03 line.long 0x00 "PMGC0,Performance Monitor Global Control" bitfld.long 0x00 31. "FAC,Freeze All Counters" "0: INCREMENTED,1: NOT_INCREMENTED" newline bitfld.long 0x00 30. "PMIE,Performance Monitor Interrupt Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 29. "FCECE,Freeze Counters On Enabled Condition Or Event" "0: Enabled if PMLCAn[CE] = 1 until an event or..,1: Enabled if PMLCAn[CE] = 1 until an event or.." group.long 0xE10++0x03 line.long 0x00 "PMLCA0,Performance Monitor Local Control A0" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions for PMC0n cannot..,1: Counter overflow conditions occur when the.." group.long 0xE14++0x03 line.long 0x00 "PMLCB0,Performance Monitor Local Control B0" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." group.long 0xE18++0x03 line.long 0x00 "PMC0a,PMC 0a" hexmask.long 0x00 0.--31. 1. "PMC0,Counter 0" group.long 0xE1C++0x03 line.long 0x00 "PMC0b,PMC 0b" hexmask.long 0x00 0.--31. 1. "PMC0,Counter 0" group.long 0xE20++0x03 line.long 0x00 "PMLCA1,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE24++0x03 line.long 0x00 "PMLCB1,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE28++0x03 line.long 0x00 "PMC1,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC1,Event Count" group.long 0xE30++0x03 line.long 0x00 "PMLCA2,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE34++0x03 line.long 0x00 "PMLCB2,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE38++0x03 line.long 0x00 "PMC2,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC2,Event Count" group.long 0xE40++0x03 line.long 0x00 "PMLCA3,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE44++0x03 line.long 0x00 "PMLCB3,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE48++0x03 line.long 0x00 "PMC3,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC3,Event Count" group.long 0xE50++0x03 line.long 0x00 "PMLCA4,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE54++0x03 line.long 0x00 "PMLCB4,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE58++0x03 line.long 0x00 "PMC4,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC4,Event Count" group.long 0xE60++0x03 line.long 0x00 "PMLCA5,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE64++0x03 line.long 0x00 "PMLCB5,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE68++0x03 line.long 0x00 "PMC5,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC5,Event Count" group.long 0xE70++0x03 line.long 0x00 "PMLCA6,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE74++0x03 line.long 0x00 "PMLCB6,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE78++0x03 line.long 0x00 "PMC6,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC6,Event Count" group.long 0xE80++0x03 line.long 0x00 "PMLCA7,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE84++0x03 line.long 0x00 "PMLCB7,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE88++0x03 line.long 0x00 "PMC7,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC7,Event Count" group.long 0xE90++0x03 line.long 0x00 "PMLCA8,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE94++0x03 line.long 0x00 "PMLCB8,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE98++0x03 line.long 0x00 "PMC8,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC8,Event Count" group.long 0xEA0++0x03 line.long 0x00 "PMLCA9,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xEA4++0x03 line.long 0x00 "PMLCB9,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xEA8++0x03 line.long 0x00 "PMC9,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC9,Event Count" group.long 0xEB0++0x03 line.long 0x00 "PMLCA10,Performance Monitor Local Control A" bitfld.long 0x00 31. "FC,Freeze Counter" "0: INCREMENT,1: DO_NOT_INCREMENT" newline bitfld.long 0x00 26. "CE,Condition Enable" "0: Counter overflow conditions cannot occur,1: Counter overflow conditions occur" newline hexmask.long.byte 0x00 16.--22. 1. "EVENT,Event Selector" newline bitfld.long 0x00 11.--15. "BSIZE,Burst Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "BGRAN,Burst Granularity" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "BDIST,Burst Distance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xEB4++0x03 line.long 0x00 "PMLCB10,Performance Monitor Local Control B" bitfld.long 0x00 26.--29. "TRIGONSEL,Trigger-On Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "TRIGOFFSEL,Trigger-Off Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18.--19. "TRIGONCNTL,Trigger-On Control" "0: Triggering off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 16.--17. "TRIGOFFCNTL,Trigger-Off Control" "0: Trigger off (no triggering to start),1: Trigger on change,2: Trigger on overflow,?..." newline bitfld.long 0x00 8.--10. "TBMULT,Threshold And Burstiness Multiplier" "0: TBMULT_1,1: TBMULT_2,2: TBMULT_3,3: TBMULT_4,4: TBMULT_5,5: TBMULT_6,6: TBMULT_7,7: TBMULT_8" newline bitfld.long 0x00 0.--5. "THRESHOLD,Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xEB8++0x03 line.long 0x00 "PMC10,Performance Monitor Counter" hexmask.long 0x00 0.--31. 1. "PMC10,Event Count" group.long 0xF00++0x03 line.long 0x00 "DEBUG_1,Debug 1" bitfld.long 0x00 31. "UZQO,Update ZQ Calibration Once" "0: If ZQ Calibration is enabled the memory..,1: If ZQ Calibration is enabled the memory.." newline eventfld.long 0x00 30. "FRC_ZQCL,Force ZQCL command" "0: No ZQCL command is needed,1: ZQCL command is needed" newline eventfld.long 0x00 29. "FRC_ZQCS,Force ZQCS command" "0: No ZQCS command is needed,1: ZQCS command is needed" newline bitfld.long 0x00 15. "CM,Crawl mode" "0: Crawl mode disabled,1: Crawl mode enabled" newline bitfld.long 0x00 3. "MAPD,Transaction scheduler auto-precharge disable" "0: Allow auto-precharges to be issued due to..,1: Do not allow Magenta Request to force.." group.long 0xF04++0x03 line.long 0x00 "DEBUG_2,Debug 2" bitfld.long 0x00 11. "SPARE_CNFG,Spare config bits" "0,1" newline bitfld.long 0x00 7. "WRCD,Write Cancel Disable" "0: Memory controller will cancel 0-byte writes..,1: Memory controller will not cancel 0-byte writes" newline eventfld.long 0x00 6. "IR,Issue refresh" "0: No refresh is needed,1: Force a refresh command" newline rbitfld.long 0x00 1. "IDLE,Memory controller idle (read only)" "0: Memory controller is busy,1: Memory controller is idle" newline rbitfld.long 0x00 0. "NML,No Modified Lines" "0: EXIST_YES,1: Do not exist" group.long 0xF0C++0x03 line.long 0x00 "DEBUG_4,Debug 4" hexmask.long 0x00 0.--31. 1. "EDDRTQ_CFG_5,eDDRTQ_CFG_5 used by the eDDRTQ" group.long 0xF48++0x03 line.long 0x00 "DEBUG_19,Debug 19" bitfld.long 0x00 31. "MCSR,DDRC Soft Reset" "0: DDRC is not reset,1: DDRC is reset" newline bitfld.long 0x00 30. "FRC_1X_REF_RATE,Force 1x refresh rate" "0,1" newline bitfld.long 0x00 24.--27. "REF_READ_RATE,Refresh Read Rate" "0: CYCLES_64_0,1: 8 refresh cycles,2: CYCLES_16,3: CYCLES_32,4: CYCLES_64_4,5: CYCLES_128,6: CYCLES_256,7: CYCLES_512,8: CYCLES_1024,9: CYCLES_2048,10: CYCLES_4096,11: CYCLES_8192,12: CYCLES_16384,13: CYCLES_32768_13,14: CYCLES_32768_14,15: CYCLES_32768_15" newline bitfld.long 0x00 21. "PERF_EN_OVRD,Perfmon Override Enabled" "0: Use ipm_plus_perfmon_en,1: Ignore ipm_plus_perfmon_en and collect.." newline bitfld.long 0x00 16. "MCK_DIS,MCK Gating Disable" "0,1" newline bitfld.long 0x00 5. "CNTR_OVRD,Counter Override Enable" "0,1" newline bitfld.long 0x00 2.--4. "CNTR_OVRD_VAL,Counter Override Value" "0,1,2,3,4,5,6,7" group.long 0xF4C++0x03 line.long 0x00 "DEBUG_20,Debug 20" rbitfld.long 0x00 31. "INIT_DONE,Initialization Done" "0,1" newline bitfld.long 0x00 29. "SPARE_CNFG,Spare config bits" "0,1" newline rbitfld.long 0x00 24.--28. "DISM_CS,DISM Current State" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xF64++0x03 line.long 0x00 "DEBUG_26,Debug 26" bitfld.long 0x00 28.--31. "DFI_WAKEUP,DFI Wakeup" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "RESET_OVRD_EN,Reset Override Enable" "0,1" newline bitfld.long 0x00 26. "RESET_OVRD_VAL,Reset Override Value" "0,1" newline bitfld.long 0x00 25. "PWROK_OVRD_EN,PwrOkIn Override Enable" "0,1" newline bitfld.long 0x00 24. "PWROK_OVRD_VAL,PwrOkIn Override Value" "0,1" newline bitfld.long 0x00 23. "DFI_RSTN,DFI Reset_N" "0,1" newline bitfld.long 0x00 22. "SPARE_CNFG3,Spare config bits" "0,1" newline bitfld.long 0x00 17.--21. "DFI_FREQ_HS,DFI Frequency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--16. "DFI_FREQ,DFI Frequency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 11. "DDRC_REOD_DIS,Command Queue Read Reordering Disable" "0,1" newline bitfld.long 0x00 8.--10. "SPARE_CNFG4,Spare config bits" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "IDLE_FLUSH_DIS,Idle Flush Disable" "0,1" newline bitfld.long 0x00 5.--6. "SPARE_CNFG2,Spare config bits" "0,1,2,3" newline bitfld.long 0x00 4. "DIS_WR_COMB,Disable Write Combining for ECC" "0: ECC write combining is enabled,1: ECC write combining is disabled" newline bitfld.long 0x00 3. "FRC_WRDATA_CS0,Force Write Data Timing to rank 0" "0: Calibrated write configs will be used for..,1: Force all writes to use the calibrated.." newline bitfld.long 0x00 2. "DIS_CTRLUPD_REQ,Disable Controller Update Request" "0: Allow update requests,1: Disable update requests" newline bitfld.long 0x00 0. "DDRC_WEOD_DIS,Command Queue Write Reordering Disable" "0,1" group.long 0xF68++0x03 line.long 0x00 "DEBUG_27,Debug 27" bitfld.long 0x00 25. "PRE_TO_ACT_4X,Precharge-To-Activate x4" "0,1" newline bitfld.long 0x00 24. "INVC,Invalidate Cache" "0: Do not invalidate,1: Invalidate" group.long 0xF6C++0x03 line.long 0x00 "DEBUG_28,Debug 28" bitfld.long 0x00 16. "WLAST_ERR,WLAST error bit" "0,1" group.long 0x1000++0x03 line.long 0x00 "ERR_EN,Error Enable" bitfld.long 0x00 31. "ECC_EN,ECC Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 30. "INLINE_ECC_EN,Inline ECC Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 9. "CRC_1_EN,CRC Enable For Group 1 Registers" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 8. "CRC_2_EN,CRC Enable For Group 2 Registers" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 7. "ECC_EN_RAM_1,ECC Enable For On-Chip RAM 1" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 6. "ECC_EN_RAM_2,ECC Enable For On-Chip RAM 2" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 5. "PAR_1_EN,Parity Enable For Internal Errors" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 3. "LKSTP_1_EN,Lockstep 1 Enable" "0: DISABLES,1: Enables" newline bitfld.long 0x00 2. "LKSTP_2_EN,Lockstep 2 Enable" "0: DISABLES,1: ENABLES" group.long 0x1100++0x03 line.long 0x00 "DATA_ERR_INJECT_HI,Memory Data Path Error Injection Mask High" hexmask.long 0x00 0.--31. 1. "EIMH,Error Injection Mask High Data Path" group.long 0x1104++0x03 line.long 0x00 "DATA_ERR_INJECT_LO,Memory Data Path Error Injection Mask Low" hexmask.long 0x00 0.--31. 1. "EIML,Error Injection Mask Low Data Bit" group.long 0x1108++0x03 line.long 0x00 "ERR_INJECT,Memory Data Path Error Injection Mask ECC" bitfld.long 0x00 31. "ADDR_TEN,Address Trigger Enable" "0: DISABLES,1: ENABLES" newline bitfld.long 0x00 23. "FRC2B,Force 2-Bit Error" "0: SINGLE_ERR,1: 2-bit error" newline bitfld.long 0x00 21.--22. "ECC_INJ_SRC,ECC Injection Source" "0: DDR SDRAM ECC using programmed data and ECC..,1: On-chip RAM ECC 1,2: On-chip RAM ECC 2,3: DDR SDRAM ECC" newline bitfld.long 0x00 12.--15. "NUM_ECC_INJ,Number Of ECC Errors Injected" "0: ECC errors are injected until the error..,1: ECC_ERR_4,2: ECC_ERR_8,3: ECC_ERR_16,4: ECC_ERR_20,5: ECC_ERR_24,6: ECC_ERR_28,7: ECC_ERR_32,8: ECC_ERR_36,9: ECC_ERR_40,10: ECC_ERR_44,11: ECC_ERR_48,12: ECC_ERR_52,13: ECC_ERR_56,14: ECC_ERR_60,15: ECC_ERR_64" newline bitfld.long 0x00 8. "EIEN,Error Injection Enable" "0: DISABLES,1: ENABLES" newline hexmask.long.byte 0x00 0.--7. 1. "EEIM,ECC Error Injection Mask" group.long 0x110C++0x03 line.long 0x00 "ADDR_ERR_INJ,Address Error Inject" hexmask.long 0x00 0.--31. 1. "ADDR,Address" group.long 0x1118++0x03 line.long 0x00 "CAPTURE_EXT_DATA_HI,Memory Extended Data Path Read Capture High" hexmask.long 0x00 0.--31. 1. "ECEHD,Error Capture Extended High Data Path" group.long 0x111C++0x03 line.long 0x00 "CAPTURE_EXT_DATA_LO,Memory Extended Data Path Read Capture Low" hexmask.long 0x00 0.--31. 1. "ECELD,Error Capture Extended Low Data Path" group.long 0x1120++0x03 line.long 0x00 "CAPTURE_DATA_HI,Memory Data Path Read Capture High" hexmask.long 0x00 0.--31. 1. "ECHD,Error Capture High Data Path" group.long 0x1124++0x03 line.long 0x00 "CAPTURE_DATA_LO,Memory Data Path Read Capture Low" hexmask.long 0x00 0.--31. 1. "ECLD,Error Capture Low Data Path" group.long 0x1128++0x03 line.long 0x00 "CAPTURE_ECC,Memory Data Path Read Capture ECC" hexmask.long 0x00 0.--31. 1. "ECE,Error Capture ECC" group.long 0x1140++0x03 line.long 0x00 "ERR_DETECT,Memory Error Detect" eventfld.long 0x00 31. "MME,Multiple Memory Errors" "0: MME_NOT_DETECTED,1: MME_DETECTED" newline eventfld.long 0x00 25. "SSBE1,SRAM SBE 1" "0: SSBE1_NOT_OCCURRED,1: SSBE1_OCCURRED" newline eventfld.long 0x00 24. "SSBE2,SRAM SBE 2" "0: SSBE2_NOT_OCCURRED,1: SSBE2_OCCURRED" newline eventfld.long 0x00 23. "SMBE1,SRAM Multi-Bit Error 1" "0: SMBE1_NOT_OCCURRED,1: SMBE1_OCCURRED" newline eventfld.long 0x00 22. "SMBE2,SRAM Multi-Bit Error 2" "0: SMBE2_NOT_OCCURRED,1: SMBE2_OCCURRED" newline eventfld.long 0x00 20. "UPDTMTE,Update Timeout Error" "0: UPDTMTE_NOT_DETECT,1: UPDTMTE_DETECT" newline eventfld.long 0x00 16. "PHYE,PHY error" "0: A DDR PHY error has not been detected,1: An error has been detected by the DDR PHY" newline eventfld.long 0x00 12. "SSBE,Scrubbed Single-Bit ECC Error" "0: Did not cross,1: CROSS_YES" newline eventfld.long 0x00 7. "REFRATEE,Refresh rate error" "0: A refresh rate error has not been detected,1: A refresh rate error has been detected" newline eventfld.long 0x00 3. "MBE,Multiple-Bit Error" "0: MULTI_NOT_DETECTED,1: MULTI_DETECTED" newline eventfld.long 0x00 2. "SBE,Single-Bit ECC Errors" "0: Did not cross,1: CROSSED" newline eventfld.long 0x00 0. "MSE,Memory-Select Error" "0: NOT_DETECTED,1: DETECTED" group.long 0x1144++0x03 line.long 0x00 "ERR_DISABLE,Memory Error Disable" bitfld.long 0x00 20. "UPDTMTED,Update Timeout Error Disable" "0: ENABLES,1: DISABLES" newline bitfld.long 0x00 16. "PHYED,PHY Error Disable" "0: ENABLES,1: DISABLES" newline bitfld.long 0x00 12. "SSBED,Scrubbed Single-Bit ECC Error Disable" "0: ENABLES,1: DISABLES" newline bitfld.long 0x00 8.--9. "SPARE,Spare config bits" "0,1,2,3" newline bitfld.long 0x00 7. "REFRATEED,Refresh Rate Error Disable" "0: ENABLES,1: DISABLES" newline bitfld.long 0x00 3. "MBED,Multiple-Bit ECC Error Disable" "0: MBED_DETECTED,1: Not detected or reported" newline bitfld.long 0x00 2. "SBED,Single-Bit ECC Error Disable" "0: ENABLES,1: DISABLES" newline bitfld.long 0x00 0. "MSED,Memory-Select Error Disable" "0: ENABLES,1: DISABLES" group.long 0x1148++0x03 line.long 0x00 "ERR_INT_EN,Memory Error Interrupt Enable" bitfld.long 0x00 20. "UPDTMTEE,Update Timeout Interrupt Enable" "0: REFRATEEE_NO,1: REFRATEEE_YES" newline bitfld.long 0x00 16. "PHYEE,PHY error interrupt enable" "0: PHY errors cannot generate interrupts,1: PHY errors generate interrupts" newline bitfld.long 0x00 12. "SSBEE,Scrubbed Single-Bit ECC Error Interrupt Enable" "0: SSBEE_NO,1: SSBEE_YES" newline bitfld.long 0x00 8.--9. "SPARE,Spare config bits" "0,1,2,3" newline bitfld.long 0x00 7. "REFRATEEE,Refresh Rate Interrupt Enable" "0: REFRATEEE_NO,1: REFRATEEE_YES" newline bitfld.long 0x00 4. "SSBE12E,SRAM Single-Bit Error Interrupt Enable" "0: SSBE12_NO,1: SSBE12_YES" newline bitfld.long 0x00 3. "MBEE,Multiple-Bit ECC Error Interrupt Enable" "0: MBEE_NO,1: MBEE_YES" newline bitfld.long 0x00 2. "SBEE,Single-Bit ECC Error Interrupt Enable" "0: SBEE_NO,1: SBEE_YES" newline bitfld.long 0x00 0. "MSEE,Memory-Select Error Interrupt Enable" "0: MSEE_NO,1: MSEE_YES" group.long 0x114C++0x03 line.long 0x00 "CAPTURE_ATTRIBUTES,Memory Error Attributes Capture" bitfld.long 0x00 28.--30. "BNUM,Data Beat Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--26. "TSIZ,Error Transaction Size" "0: TSIZ_8,1: TSIZ_1,2: TSIZ_2,3: TSIZ_3,4: TSIZ_4,5: TSIZ_5,6: TSIZ_6,7: TSIZ_7" newline hexmask.long.byte 0x00 16.--23. 1. "TSRC,Error Transaction Source" newline bitfld.long 0x00 12.--13. "TTYP,Error Transaction Type" "?,1: TTYP_,2: TTYP_READ,3: Read-modify" newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x1150++0x03 line.long 0x00 "CAPTURE_ADDRESS,Memory Error Address Capture" hexmask.long 0x00 0.--31. 1. "CADDR,Captured Address" group.long 0x1154++0x03 line.long 0x00 "CAPTURE_EXT_ADDRESS,Memory Error Extended Address Capture" hexmask.long.byte 0x00 0.--7. 1. "CEADDR,Captured Extended Address" group.long 0x1158++0x03 line.long 0x00 "ERR_SBE,Single-Bit ECC Memory Error Management" hexmask.long.byte 0x00 24.--31. 1. "SSBET,Scrubbed SBE Threshold" newline hexmask.long.byte 0x00 16.--23. 1. "SBET,SBE Threshold" newline hexmask.long.byte 0x00 8.--15. 1. "SSBEC,Scrubbed SBE Counter" newline hexmask.long.byte 0x00 0.--7. 1. "SBEC,SBE Counter" group.long 0x1240++0x03 line.long 0x00 "ECC_REG_0,ECC Region 0 Configuration" bitfld.long 0x00 31. "REG_0_EN,Region 0 Enable" "0: Does not use region 0 for ECC enablement,1: Protects addresses from region 0 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_0_SA,Region 0 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_0_EA,Region 0 End Address" group.long 0x1244++0x03 line.long 0x00 "ECC_REG_1,ECC Region 1 Configuration" bitfld.long 0x00 31. "REG_1_EN,Region 1 Enable" "0: Does not use region 1 for ECC enablement,1: Protects addresses from region 1 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_1_SA,Region 1 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_1_EA,Region 1 End Address" group.long 0x1248++0x03 line.long 0x00 "ECC_REG_2,ECC Region 2 Configuration" bitfld.long 0x00 31. "REG_2_EN,Region 2 Enable" "0: Does not use region 2 for ECC enablement,1: Protects addresses from region 2 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_2_SA,Region 2 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_2_EA,Region 2 End Address" group.long 0x124C++0x03 line.long 0x00 "ECC_REG_3,ECC Region 3 Configuration" bitfld.long 0x00 31. "REG_3_EN,Region 3 Enable" "0: Does not use region 3 for ECC enablement,1: Protects addresses from region 3 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_3_SA,Region 3 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_3_EA,Region 3 End Address" group.long 0x1250++0x03 line.long 0x00 "ECC_REG_4,ECC Region 4 Configuration" bitfld.long 0x00 31. "REG_4_EN,Region 4 Enable" "0: Does not use region 4 for ECC enablement,1: Protects addresses from region 4 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_4_SA,Region 4 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_4_EA,Region 4 End Address" group.long 0x1254++0x03 line.long 0x00 "ECC_REG_5,ECC Region 5 Configuration" bitfld.long 0x00 31. "REG_5_EN,Region 5 Enable" "0: Does not use region 5 for ECC enablement,1: Protects addresses from region 5 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_5_SA,Region 5 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_5_EA,Region 5 End Address" group.long 0x1258++0x03 line.long 0x00 "ECC_REG_6,ECC Region 6 Configuration" bitfld.long 0x00 31. "REG_6_EN,Region 6 Enable" "0: Does not use region 6 for ECC enablement,1: Protects addresses from region 6 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_6_SA,Region 6 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_6_EA,Region 6 End Address" group.long 0x125C++0x03 line.long 0x00 "ECC_REG_7,ECC Region 7 Configuration" bitfld.long 0x00 31. "REG_7_EN,Region 7 Enable" "0: Does not use region 7 for ECC enablement,1: Protects addresses from region 7 with ECC" newline hexmask.long.word 0x00 16.--27. 1. "REG_7_SA,Region 7 Start Address" newline hexmask.long.word 0x00 0.--11. 1. "REG_7_EA,Region 7 End Address" repeat 2. (increment 0 1)(increment 0 0x8) tree "CS_BNDS[$1]" group.long ($2+0x00)++0x03 line.long 0x00 "CS_BNDS,Rank n Memory Bounds" hexmask.long.word 0x00 16.--31. 1. "SA,Starting Address" hexmask.long.word 0x00 0.--15. 1. "EA,Ending Address" tree.end repeat.end tree.end tree "DDRMIX_BLK_CTRL" base ad:0x4E010000 group.long 0x00++0x03 line.long 0x00 "HWFFC_CTRL,DDRPHY DfiClk DflCtlClk HWFFC Control" bitfld.long 0x00 1. "HWFFC_SEL,DDRPHY DfiClk DfiCtlClk HWFFC Select" "0,1" bitfld.long 0x00 0. "HWFFC_EN,DDRPHY DfiClk DfiCtlClk HWFFC Enable" "0,1" group.long 0x04++0x03 line.long 0x00 "CA55_SEL_CTRL,CA55 Arm Pll Observe Select" bitfld.long 0x00 0. "CA55_SEL,CA55 Arm Pll select into DDRPHY" "0,1" group.long 0x08++0x03 line.long 0x00 "VREF_PSW_CTRL,DRAM_VREF power switch" bitfld.long 0x00 0. "VREF_PSW,DDRPHY DRAM_VREF Power Switch" "0,1" group.long 0x0C++0x03 line.long 0x00 "DDRC_STOP_CTRL,DDR Controller ipg_stop SW control" rbitfld.long 0x00 1. "DDRC_STOP_ACK,DDR Controller ipg_stop_ack" "0,1" bitfld.long 0x00 0. "DDRC_STOP,DDR Controller ipg_stop" "0,1" group.long 0x10++0x03 line.long 0x00 "AUTO_CG_CTRL,DDR Controller automatic clock gating control when no AXI transmit" bitfld.long 0x00 17. "HWFFC_ACG_FORCE_B,DDR Controller hwffc and auto CG send ipg_stop allow bit" "0,1" bitfld.long 0x00 16. "AUTO_CG_ENA,DDR Controller automatic clock gating enable bit" "0,1" hexmask.long.word 0x00 0.--15. 1. "SSI_IDLE_STRAP,Number of cycles for SSI being idle before DDRC clock gating" tree.end tree "DMA (DMA MP)" base ad:0x42000000 group.long 0x00++0x03 line.long 0x00 "MP_CSR,Management Page Control Register" rbitfld.long 0x00 31. "ACTIVE,DMA Active Status" "0: eDMA is idle,1: eDMA is executing a channel" rbitfld.long 0x00 24.--29. "ACTIVE_ID,Active channel ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 16.--23. 1. "VER,eDMA version" bitfld.long 0x00 9. "CX,Cancel Transfer" "0: Normal operation,1: Cancel the remaining data transfer" newline bitfld.long 0x00 8. "ECX,Cancel Transfer with Error" "0: Normal operation,1: Cancel the remaining data transfer in the.." bitfld.long 0x00 7. "GMRC,Global Master ID Replication Control" "0: Master ID replication is disabled for all..,1: Master ID replication is available and is.." newline bitfld.long 0x00 6. "GCLC,Global Channel Linking Control" "0: Channel linking is disabled for all channels,1: Channel linking is available and controlled.." bitfld.long 0x00 5. "HALT,Halt DMA Operations" "0: Normal operation,1: Stall the start of any new channels" newline bitfld.long 0x00 4. "HAE,Halt After Error" "0: Normal operation,1: Any error causes the HALT bit to set" bitfld.long 0x00 2. "ERCA,Enable Round Robin Channel Arbitration" "0: Round robin channel arbitration is disabled,1: Round robin channel arbitration is enabled" newline bitfld.long 0x00 1. "EDBG,Enable Debug" "0: Debug mode is disabled,1: Debug mode is enabled" rgroup.long 0x04++0x03 line.long 0x00 "MP_ES,Management Page Error Status Register" bitfld.long 0x00 31. "VLD,Valid" "0: No ERR bits are set,1: At least one ERR bit is set indicating a.." bitfld.long 0x00 24.--29. "ERRCHN,Error Channel Number or Canceled Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8. "ECX,Transfer Canceled" "0: No canceled transfers,1: The last recorded entry was a canceled.." bitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline bitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." bitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline bitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." bitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was NBYTES equal to.." newline bitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." bitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline bitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." rgroup.long 0x08++0x03 line.long 0x00 "MP_INT_LOW,Management Page Interrupt Request Status Register - Low" hexmask.long 0x00 0.--31. 1. "INT,Interrupt Request Status for channels" rgroup.long 0x0C++0x03 line.long 0x00 "MP_INT_HIGH,Management Page Interrupt Request Status Register- High" hexmask.long 0x00 0.--31. 1. "INT,Interrupt Request Status for channels 63-32" rgroup.long 0x10++0x03 line.long 0x00 "MP_HRS_LOW,Management Page Hardware Request Status Register - Low" hexmask.long 0x00 0.--31. 1. "HRS,Hardware Request Status for channels" rgroup.long 0x14++0x03 line.long 0x00 "MP_HRS_HIGH,Management Page Hardware Request Status Register - High" hexmask.long 0x00 0.--31. 1. "HRS,Hardware Request Status for channels 63-32" repeat 64. (increment 0 1) (increment 0 0x04) group.long ($2+0x100)++0x03 line.long 0x00 "CH_GRPRI[$1],Channel Arbitration Group Register $1" bitfld.long 0x00 0.--5. "GRPRI,Arbitration group per channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end tree.end tree "DMA_TCD (DMA TCD)" base ad:0x42010000 group.long 0x00++0x03 line.long 0x00 "CH0_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x04++0x03 line.long 0x00 "CH0_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x08++0x03 line.long 0x00 "CH0_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x0C++0x03 line.long 0x00 "CH0_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10++0x03 line.long 0x00 "CH0_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x14++0x03 line.long 0x00 "CH0_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x18++0x01 line.word 0x00 "CH0_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20++0x03 line.long 0x00 "TCD0_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x24++0x01 line.word 0x00 "TCD0_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x26++0x01 line.word 0x00 "TCD0_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x28++0x03 line.long 0x00 "TCD0_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x28++0x03 line.long 0x00 "TCD0_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x2C++0x03 line.long 0x00 "TCD0_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x30++0x03 line.long 0x00 "TCD0_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x34++0x01 line.word 0x00 "TCD0_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x36++0x01 line.word 0x00 "TCD0_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x36++0x01 line.word 0x00 "TCD0_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x38++0x03 line.long 0x00 "TCD0_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x3C++0x01 line.word 0x00 "TCD0_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x3E++0x01 line.word 0x00 "TCD0_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x3E++0x01 line.word 0x00 "TCD0_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x8000++0x03 line.long 0x00 "CH1_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x8004++0x03 line.long 0x00 "CH1_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x8008++0x03 line.long 0x00 "CH1_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x800C++0x03 line.long 0x00 "CH1_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x8010++0x03 line.long 0x00 "CH1_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x8014++0x03 line.long 0x00 "CH1_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x8018++0x01 line.word 0x00 "CH1_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x8020++0x03 line.long 0x00 "TCD1_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x8024++0x01 line.word 0x00 "TCD1_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x8026++0x01 line.word 0x00 "TCD1_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x8028++0x03 line.long 0x00 "TCD1_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x8028++0x03 line.long 0x00 "TCD1_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x802C++0x03 line.long 0x00 "TCD1_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x8030++0x03 line.long 0x00 "TCD1_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x8034++0x01 line.word 0x00 "TCD1_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x8036++0x01 line.word 0x00 "TCD1_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x8036++0x01 line.word 0x00 "TCD1_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x8038++0x03 line.long 0x00 "TCD1_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x803C++0x01 line.word 0x00 "TCD1_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x803E++0x01 line.word 0x00 "TCD1_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x803E++0x01 line.word 0x00 "TCD1_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x10000++0x03 line.long 0x00 "CH2_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x10004++0x03 line.long 0x00 "CH2_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x10008++0x03 line.long 0x00 "CH2_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1000C++0x03 line.long 0x00 "CH2_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10010++0x03 line.long 0x00 "CH2_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x10014++0x03 line.long 0x00 "CH2_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x10018++0x01 line.word 0x00 "CH2_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10020++0x03 line.long 0x00 "TCD2_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x10024++0x01 line.word 0x00 "TCD2_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x10026++0x01 line.word 0x00 "TCD2_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x10028++0x03 line.long 0x00 "TCD2_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x10028++0x03 line.long 0x00 "TCD2_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1002C++0x03 line.long 0x00 "TCD2_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x10030++0x03 line.long 0x00 "TCD2_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x10034++0x01 line.word 0x00 "TCD2_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x10036++0x01 line.word 0x00 "TCD2_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x10036++0x01 line.word 0x00 "TCD2_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x10038++0x03 line.long 0x00 "TCD2_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1003C++0x01 line.word 0x00 "TCD2_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1003E++0x01 line.word 0x00 "TCD2_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1003E++0x01 line.word 0x00 "TCD2_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x18000++0x03 line.long 0x00 "CH3_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x18004++0x03 line.long 0x00 "CH3_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x18008++0x03 line.long 0x00 "CH3_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1800C++0x03 line.long 0x00 "CH3_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x18010++0x03 line.long 0x00 "CH3_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x18014++0x03 line.long 0x00 "CH3_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x18018++0x01 line.word 0x00 "CH3_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x18020++0x03 line.long 0x00 "TCD3_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x18024++0x01 line.word 0x00 "TCD3_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x18026++0x01 line.word 0x00 "TCD3_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x18028++0x03 line.long 0x00 "TCD3_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x18028++0x03 line.long 0x00 "TCD3_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1802C++0x03 line.long 0x00 "TCD3_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x18030++0x03 line.long 0x00 "TCD3_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x18034++0x01 line.word 0x00 "TCD3_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x18036++0x01 line.word 0x00 "TCD3_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x18036++0x01 line.word 0x00 "TCD3_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x18038++0x03 line.long 0x00 "TCD3_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1803C++0x01 line.word 0x00 "TCD3_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1803E++0x01 line.word 0x00 "TCD3_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1803E++0x01 line.word 0x00 "TCD3_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x20000++0x03 line.long 0x00 "CH4_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x20004++0x03 line.long 0x00 "CH4_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x20008++0x03 line.long 0x00 "CH4_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x2000C++0x03 line.long 0x00 "CH4_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20010++0x03 line.long 0x00 "CH4_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x20014++0x03 line.long 0x00 "CH4_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x20018++0x01 line.word 0x00 "CH4_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20020++0x03 line.long 0x00 "TCD4_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x20024++0x01 line.word 0x00 "TCD4_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x20026++0x01 line.word 0x00 "TCD4_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x20028++0x03 line.long 0x00 "TCD4_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x20028++0x03 line.long 0x00 "TCD4_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x2002C++0x03 line.long 0x00 "TCD4_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x20030++0x03 line.long 0x00 "TCD4_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x20034++0x01 line.word 0x00 "TCD4_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x20036++0x01 line.word 0x00 "TCD4_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x20036++0x01 line.word 0x00 "TCD4_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x20038++0x03 line.long 0x00 "TCD4_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x2003C++0x01 line.word 0x00 "TCD4_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x2003E++0x01 line.word 0x00 "TCD4_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x2003E++0x01 line.word 0x00 "TCD4_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x28000++0x03 line.long 0x00 "CH5_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x28004++0x03 line.long 0x00 "CH5_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x28008++0x03 line.long 0x00 "CH5_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x2800C++0x03 line.long 0x00 "CH5_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x28010++0x03 line.long 0x00 "CH5_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x28014++0x03 line.long 0x00 "CH5_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x28018++0x01 line.word 0x00 "CH5_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x28020++0x03 line.long 0x00 "TCD5_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x28024++0x01 line.word 0x00 "TCD5_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x28026++0x01 line.word 0x00 "TCD5_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x28028++0x03 line.long 0x00 "TCD5_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x28028++0x03 line.long 0x00 "TCD5_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x2802C++0x03 line.long 0x00 "TCD5_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x28030++0x03 line.long 0x00 "TCD5_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x28034++0x01 line.word 0x00 "TCD5_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x28036++0x01 line.word 0x00 "TCD5_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x28036++0x01 line.word 0x00 "TCD5_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x28038++0x03 line.long 0x00 "TCD5_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x2803C++0x01 line.word 0x00 "TCD5_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x2803E++0x01 line.word 0x00 "TCD5_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x2803E++0x01 line.word 0x00 "TCD5_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x30000++0x03 line.long 0x00 "CH6_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x30004++0x03 line.long 0x00 "CH6_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x30008++0x03 line.long 0x00 "CH6_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x3000C++0x03 line.long 0x00 "CH6_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x30010++0x03 line.long 0x00 "CH6_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x30014++0x03 line.long 0x00 "CH6_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x30018++0x01 line.word 0x00 "CH6_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x30020++0x03 line.long 0x00 "TCD6_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x30024++0x01 line.word 0x00 "TCD6_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x30026++0x01 line.word 0x00 "TCD6_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x30028++0x03 line.long 0x00 "TCD6_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x30028++0x03 line.long 0x00 "TCD6_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x3002C++0x03 line.long 0x00 "TCD6_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x30030++0x03 line.long 0x00 "TCD6_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x30034++0x01 line.word 0x00 "TCD6_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x30036++0x01 line.word 0x00 "TCD6_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x30036++0x01 line.word 0x00 "TCD6_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x30038++0x03 line.long 0x00 "TCD6_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x3003C++0x01 line.word 0x00 "TCD6_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x3003E++0x01 line.word 0x00 "TCD6_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x3003E++0x01 line.word 0x00 "TCD6_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x38000++0x03 line.long 0x00 "CH7_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x38004++0x03 line.long 0x00 "CH7_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x38008++0x03 line.long 0x00 "CH7_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x3800C++0x03 line.long 0x00 "CH7_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x38010++0x03 line.long 0x00 "CH7_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x38014++0x03 line.long 0x00 "CH7_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x38018++0x01 line.word 0x00 "CH7_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x38020++0x03 line.long 0x00 "TCD7_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x38024++0x01 line.word 0x00 "TCD7_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x38026++0x01 line.word 0x00 "TCD7_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x38028++0x03 line.long 0x00 "TCD7_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x38028++0x03 line.long 0x00 "TCD7_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x3802C++0x03 line.long 0x00 "TCD7_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x38030++0x03 line.long 0x00 "TCD7_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x38034++0x01 line.word 0x00 "TCD7_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x38036++0x01 line.word 0x00 "TCD7_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x38036++0x01 line.word 0x00 "TCD7_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x38038++0x03 line.long 0x00 "TCD7_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x3803C++0x01 line.word 0x00 "TCD7_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x3803E++0x01 line.word 0x00 "TCD7_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x3803E++0x01 line.word 0x00 "TCD7_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x40000++0x03 line.long 0x00 "CH8_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x40004++0x03 line.long 0x00 "CH8_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x40008++0x03 line.long 0x00 "CH8_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x4000C++0x03 line.long 0x00 "CH8_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x40010++0x03 line.long 0x00 "CH8_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x40014++0x03 line.long 0x00 "CH8_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x40018++0x01 line.word 0x00 "CH8_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x40020++0x03 line.long 0x00 "TCD8_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x40024++0x01 line.word 0x00 "TCD8_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x40026++0x01 line.word 0x00 "TCD8_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x40028++0x03 line.long 0x00 "TCD8_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x40028++0x03 line.long 0x00 "TCD8_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x4002C++0x03 line.long 0x00 "TCD8_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x40030++0x03 line.long 0x00 "TCD8_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x40034++0x01 line.word 0x00 "TCD8_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x40036++0x01 line.word 0x00 "TCD8_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x40036++0x01 line.word 0x00 "TCD8_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x40038++0x03 line.long 0x00 "TCD8_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x4003C++0x01 line.word 0x00 "TCD8_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x4003E++0x01 line.word 0x00 "TCD8_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x4003E++0x01 line.word 0x00 "TCD8_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x48000++0x03 line.long 0x00 "CH9_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x48004++0x03 line.long 0x00 "CH9_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x48008++0x03 line.long 0x00 "CH9_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x4800C++0x03 line.long 0x00 "CH9_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x48010++0x03 line.long 0x00 "CH9_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x48014++0x03 line.long 0x00 "CH9_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x48018++0x01 line.word 0x00 "CH9_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x48020++0x03 line.long 0x00 "TCD9_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x48024++0x01 line.word 0x00 "TCD9_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x48026++0x01 line.word 0x00 "TCD9_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x48028++0x03 line.long 0x00 "TCD9_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x48028++0x03 line.long 0x00 "TCD9_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x4802C++0x03 line.long 0x00 "TCD9_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x48030++0x03 line.long 0x00 "TCD9_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x48034++0x01 line.word 0x00 "TCD9_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x48036++0x01 line.word 0x00 "TCD9_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x48036++0x01 line.word 0x00 "TCD9_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x48038++0x03 line.long 0x00 "TCD9_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x4803C++0x01 line.word 0x00 "TCD9_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x4803E++0x01 line.word 0x00 "TCD9_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x4803E++0x01 line.word 0x00 "TCD9_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x50000++0x03 line.long 0x00 "CH10_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x50004++0x03 line.long 0x00 "CH10_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x50008++0x03 line.long 0x00 "CH10_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x5000C++0x03 line.long 0x00 "CH10_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x50010++0x03 line.long 0x00 "CH10_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x50014++0x03 line.long 0x00 "CH10_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x50018++0x01 line.word 0x00 "CH10_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x50020++0x03 line.long 0x00 "TCD10_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x50024++0x01 line.word 0x00 "TCD10_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x50026++0x01 line.word 0x00 "TCD10_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x50028++0x03 line.long 0x00 "TCD10_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x50028++0x03 line.long 0x00 "TCD10_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x5002C++0x03 line.long 0x00 "TCD10_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x50030++0x03 line.long 0x00 "TCD10_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x50034++0x01 line.word 0x00 "TCD10_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x50036++0x01 line.word 0x00 "TCD10_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x50036++0x01 line.word 0x00 "TCD10_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x50038++0x03 line.long 0x00 "TCD10_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x5003C++0x01 line.word 0x00 "TCD10_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x5003E++0x01 line.word 0x00 "TCD10_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x5003E++0x01 line.word 0x00 "TCD10_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x58000++0x03 line.long 0x00 "CH11_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x58004++0x03 line.long 0x00 "CH11_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x58008++0x03 line.long 0x00 "CH11_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x5800C++0x03 line.long 0x00 "CH11_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x58010++0x03 line.long 0x00 "CH11_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x58014++0x03 line.long 0x00 "CH11_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x58018++0x01 line.word 0x00 "CH11_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x58020++0x03 line.long 0x00 "TCD11_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x58024++0x01 line.word 0x00 "TCD11_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x58026++0x01 line.word 0x00 "TCD11_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x58028++0x03 line.long 0x00 "TCD11_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x58028++0x03 line.long 0x00 "TCD11_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x5802C++0x03 line.long 0x00 "TCD11_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x58030++0x03 line.long 0x00 "TCD11_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x58034++0x01 line.word 0x00 "TCD11_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x58036++0x01 line.word 0x00 "TCD11_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x58036++0x01 line.word 0x00 "TCD11_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x58038++0x03 line.long 0x00 "TCD11_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x5803C++0x01 line.word 0x00 "TCD11_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x5803E++0x01 line.word 0x00 "TCD11_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x5803E++0x01 line.word 0x00 "TCD11_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x60000++0x03 line.long 0x00 "CH12_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x60004++0x03 line.long 0x00 "CH12_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x60008++0x03 line.long 0x00 "CH12_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x6000C++0x03 line.long 0x00 "CH12_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60010++0x03 line.long 0x00 "CH12_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x60014++0x03 line.long 0x00 "CH12_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x60018++0x01 line.word 0x00 "CH12_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60020++0x03 line.long 0x00 "TCD12_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x60024++0x01 line.word 0x00 "TCD12_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x60026++0x01 line.word 0x00 "TCD12_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x60028++0x03 line.long 0x00 "TCD12_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x60028++0x03 line.long 0x00 "TCD12_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x6002C++0x03 line.long 0x00 "TCD12_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x60030++0x03 line.long 0x00 "TCD12_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x60034++0x01 line.word 0x00 "TCD12_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x60036++0x01 line.word 0x00 "TCD12_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x60036++0x01 line.word 0x00 "TCD12_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x60038++0x03 line.long 0x00 "TCD12_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x6003C++0x01 line.word 0x00 "TCD12_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x6003E++0x01 line.word 0x00 "TCD12_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x6003E++0x01 line.word 0x00 "TCD12_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x68000++0x03 line.long 0x00 "CH13_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x68004++0x03 line.long 0x00 "CH13_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x68008++0x03 line.long 0x00 "CH13_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x6800C++0x03 line.long 0x00 "CH13_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x68010++0x03 line.long 0x00 "CH13_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x68014++0x03 line.long 0x00 "CH13_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x68018++0x01 line.word 0x00 "CH13_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x68020++0x03 line.long 0x00 "TCD13_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x68024++0x01 line.word 0x00 "TCD13_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x68026++0x01 line.word 0x00 "TCD13_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x68028++0x03 line.long 0x00 "TCD13_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x68028++0x03 line.long 0x00 "TCD13_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x6802C++0x03 line.long 0x00 "TCD13_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x68030++0x03 line.long 0x00 "TCD13_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x68034++0x01 line.word 0x00 "TCD13_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x68036++0x01 line.word 0x00 "TCD13_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x68036++0x01 line.word 0x00 "TCD13_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x68038++0x03 line.long 0x00 "TCD13_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x6803C++0x01 line.word 0x00 "TCD13_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x6803E++0x01 line.word 0x00 "TCD13_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x6803E++0x01 line.word 0x00 "TCD13_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x70000++0x03 line.long 0x00 "CH14_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x70004++0x03 line.long 0x00 "CH14_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x70008++0x03 line.long 0x00 "CH14_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x7000C++0x03 line.long 0x00 "CH14_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70010++0x03 line.long 0x00 "CH14_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x70014++0x03 line.long 0x00 "CH14_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x70018++0x01 line.word 0x00 "CH14_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70020++0x03 line.long 0x00 "TCD14_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x70024++0x01 line.word 0x00 "TCD14_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x70026++0x01 line.word 0x00 "TCD14_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x70028++0x03 line.long 0x00 "TCD14_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x70028++0x03 line.long 0x00 "TCD14_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x7002C++0x03 line.long 0x00 "TCD14_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x70030++0x03 line.long 0x00 "TCD14_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x70034++0x01 line.word 0x00 "TCD14_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x70036++0x01 line.word 0x00 "TCD14_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x70036++0x01 line.word 0x00 "TCD14_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x70038++0x03 line.long 0x00 "TCD14_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x7003C++0x01 line.word 0x00 "TCD14_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x7003E++0x01 line.word 0x00 "TCD14_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x7003E++0x01 line.word 0x00 "TCD14_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x78000++0x03 line.long 0x00 "CH15_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x78004++0x03 line.long 0x00 "CH15_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x78008++0x03 line.long 0x00 "CH15_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x7800C++0x03 line.long 0x00 "CH15_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x78010++0x03 line.long 0x00 "CH15_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x78014++0x03 line.long 0x00 "CH15_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x78018++0x01 line.word 0x00 "CH15_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x78020++0x03 line.long 0x00 "TCD15_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x78024++0x01 line.word 0x00 "TCD15_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x78026++0x01 line.word 0x00 "TCD15_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x78028++0x03 line.long 0x00 "TCD15_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x78028++0x03 line.long 0x00 "TCD15_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x7802C++0x03 line.long 0x00 "TCD15_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x78030++0x03 line.long 0x00 "TCD15_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x78034++0x01 line.word 0x00 "TCD15_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x78036++0x01 line.word 0x00 "TCD15_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x78036++0x01 line.word 0x00 "TCD15_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x78038++0x03 line.long 0x00 "TCD15_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x7803C++0x01 line.word 0x00 "TCD15_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x7803E++0x01 line.word 0x00 "TCD15_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x7803E++0x01 line.word 0x00 "TCD15_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x80000++0x03 line.long 0x00 "CH16_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x80004++0x03 line.long 0x00 "CH16_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x80008++0x03 line.long 0x00 "CH16_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x8000C++0x03 line.long 0x00 "CH16_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x80010++0x03 line.long 0x00 "CH16_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x80014++0x03 line.long 0x00 "CH16_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x80018++0x01 line.word 0x00 "CH16_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x80020++0x03 line.long 0x00 "TCD16_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x80024++0x01 line.word 0x00 "TCD16_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x80026++0x01 line.word 0x00 "TCD16_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x80028++0x03 line.long 0x00 "TCD16_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x80028++0x03 line.long 0x00 "TCD16_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x8002C++0x03 line.long 0x00 "TCD16_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x80030++0x03 line.long 0x00 "TCD16_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x80034++0x01 line.word 0x00 "TCD16_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x80036++0x01 line.word 0x00 "TCD16_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x80036++0x01 line.word 0x00 "TCD16_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x80038++0x03 line.long 0x00 "TCD16_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x8003C++0x01 line.word 0x00 "TCD16_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x8003E++0x01 line.word 0x00 "TCD16_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x8003E++0x01 line.word 0x00 "TCD16_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x88000++0x03 line.long 0x00 "CH17_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x88004++0x03 line.long 0x00 "CH17_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x88008++0x03 line.long 0x00 "CH17_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x8800C++0x03 line.long 0x00 "CH17_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x88010++0x03 line.long 0x00 "CH17_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x88014++0x03 line.long 0x00 "CH17_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x88018++0x01 line.word 0x00 "CH17_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x88020++0x03 line.long 0x00 "TCD17_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x88024++0x01 line.word 0x00 "TCD17_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x88026++0x01 line.word 0x00 "TCD17_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x88028++0x03 line.long 0x00 "TCD17_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x88028++0x03 line.long 0x00 "TCD17_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x8802C++0x03 line.long 0x00 "TCD17_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x88030++0x03 line.long 0x00 "TCD17_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x88034++0x01 line.word 0x00 "TCD17_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x88036++0x01 line.word 0x00 "TCD17_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x88036++0x01 line.word 0x00 "TCD17_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x88038++0x03 line.long 0x00 "TCD17_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x8803C++0x01 line.word 0x00 "TCD17_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x8803E++0x01 line.word 0x00 "TCD17_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x8803E++0x01 line.word 0x00 "TCD17_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x90000++0x03 line.long 0x00 "CH18_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x90004++0x03 line.long 0x00 "CH18_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x90008++0x03 line.long 0x00 "CH18_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x9000C++0x03 line.long 0x00 "CH18_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x90010++0x03 line.long 0x00 "CH18_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x90014++0x03 line.long 0x00 "CH18_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x90018++0x01 line.word 0x00 "CH18_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x90020++0x03 line.long 0x00 "TCD18_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x90024++0x01 line.word 0x00 "TCD18_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x90026++0x01 line.word 0x00 "TCD18_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x90028++0x03 line.long 0x00 "TCD18_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x90028++0x03 line.long 0x00 "TCD18_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x9002C++0x03 line.long 0x00 "TCD18_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x90030++0x03 line.long 0x00 "TCD18_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x90034++0x01 line.word 0x00 "TCD18_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x90036++0x01 line.word 0x00 "TCD18_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x90036++0x01 line.word 0x00 "TCD18_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x90038++0x03 line.long 0x00 "TCD18_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x9003C++0x01 line.word 0x00 "TCD18_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x9003E++0x01 line.word 0x00 "TCD18_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x9003E++0x01 line.word 0x00 "TCD18_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x98000++0x03 line.long 0x00 "CH19_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x98004++0x03 line.long 0x00 "CH19_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x98008++0x03 line.long 0x00 "CH19_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x9800C++0x03 line.long 0x00 "CH19_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x98010++0x03 line.long 0x00 "CH19_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x98014++0x03 line.long 0x00 "CH19_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x98018++0x01 line.word 0x00 "CH19_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x98020++0x03 line.long 0x00 "TCD19_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x98024++0x01 line.word 0x00 "TCD19_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x98026++0x01 line.word 0x00 "TCD19_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x98028++0x03 line.long 0x00 "TCD19_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x98028++0x03 line.long 0x00 "TCD19_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x9802C++0x03 line.long 0x00 "TCD19_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x98030++0x03 line.long 0x00 "TCD19_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x98034++0x01 line.word 0x00 "TCD19_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x98036++0x01 line.word 0x00 "TCD19_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x98036++0x01 line.word 0x00 "TCD19_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x98038++0x03 line.long 0x00 "TCD19_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x9803C++0x01 line.word 0x00 "TCD19_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x9803E++0x01 line.word 0x00 "TCD19_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x9803E++0x01 line.word 0x00 "TCD19_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xA0000++0x03 line.long 0x00 "CH20_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xA0004++0x03 line.long 0x00 "CH20_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xA0008++0x03 line.long 0x00 "CH20_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xA000C++0x03 line.long 0x00 "CH20_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA0010++0x03 line.long 0x00 "CH20_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xA0014++0x03 line.long 0x00 "CH20_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xA0018++0x01 line.word 0x00 "CH20_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA0020++0x03 line.long 0x00 "TCD20_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xA0024++0x01 line.word 0x00 "TCD20_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xA0026++0x01 line.word 0x00 "TCD20_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xA0028++0x03 line.long 0x00 "TCD20_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xA0028++0x03 line.long 0x00 "TCD20_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xA002C++0x03 line.long 0x00 "TCD20_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xA0030++0x03 line.long 0x00 "TCD20_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xA0034++0x01 line.word 0x00 "TCD20_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xA0036++0x01 line.word 0x00 "TCD20_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xA0036++0x01 line.word 0x00 "TCD20_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xA0038++0x03 line.long 0x00 "TCD20_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xA003C++0x01 line.word 0x00 "TCD20_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xA003E++0x01 line.word 0x00 "TCD20_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xA003E++0x01 line.word 0x00 "TCD20_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xA8000++0x03 line.long 0x00 "CH21_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xA8004++0x03 line.long 0x00 "CH21_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xA8008++0x03 line.long 0x00 "CH21_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xA800C++0x03 line.long 0x00 "CH21_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA8010++0x03 line.long 0x00 "CH21_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xA8014++0x03 line.long 0x00 "CH21_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xA8018++0x01 line.word 0x00 "CH21_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA8020++0x03 line.long 0x00 "TCD21_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xA8024++0x01 line.word 0x00 "TCD21_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xA8026++0x01 line.word 0x00 "TCD21_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xA8028++0x03 line.long 0x00 "TCD21_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xA8028++0x03 line.long 0x00 "TCD21_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xA802C++0x03 line.long 0x00 "TCD21_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xA8030++0x03 line.long 0x00 "TCD21_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xA8034++0x01 line.word 0x00 "TCD21_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xA8036++0x01 line.word 0x00 "TCD21_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xA8036++0x01 line.word 0x00 "TCD21_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xA8038++0x03 line.long 0x00 "TCD21_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xA803C++0x01 line.word 0x00 "TCD21_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xA803E++0x01 line.word 0x00 "TCD21_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xA803E++0x01 line.word 0x00 "TCD21_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xB0000++0x03 line.long 0x00 "CH22_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xB0004++0x03 line.long 0x00 "CH22_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xB0008++0x03 line.long 0x00 "CH22_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xB000C++0x03 line.long 0x00 "CH22_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB0010++0x03 line.long 0x00 "CH22_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xB0014++0x03 line.long 0x00 "CH22_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xB0018++0x01 line.word 0x00 "CH22_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB0020++0x03 line.long 0x00 "TCD22_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xB0024++0x01 line.word 0x00 "TCD22_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xB0026++0x01 line.word 0x00 "TCD22_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xB0028++0x03 line.long 0x00 "TCD22_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xB0028++0x03 line.long 0x00 "TCD22_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xB002C++0x03 line.long 0x00 "TCD22_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xB0030++0x03 line.long 0x00 "TCD22_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xB0034++0x01 line.word 0x00 "TCD22_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xB0036++0x01 line.word 0x00 "TCD22_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xB0036++0x01 line.word 0x00 "TCD22_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xB0038++0x03 line.long 0x00 "TCD22_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xB003C++0x01 line.word 0x00 "TCD22_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xB003E++0x01 line.word 0x00 "TCD22_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xB003E++0x01 line.word 0x00 "TCD22_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xB8000++0x03 line.long 0x00 "CH23_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xB8004++0x03 line.long 0x00 "CH23_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xB8008++0x03 line.long 0x00 "CH23_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xB800C++0x03 line.long 0x00 "CH23_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB8010++0x03 line.long 0x00 "CH23_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xB8014++0x03 line.long 0x00 "CH23_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xB8018++0x01 line.word 0x00 "CH23_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB8020++0x03 line.long 0x00 "TCD23_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xB8024++0x01 line.word 0x00 "TCD23_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xB8026++0x01 line.word 0x00 "TCD23_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xB8028++0x03 line.long 0x00 "TCD23_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xB8028++0x03 line.long 0x00 "TCD23_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xB802C++0x03 line.long 0x00 "TCD23_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xB8030++0x03 line.long 0x00 "TCD23_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xB8034++0x01 line.word 0x00 "TCD23_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xB8036++0x01 line.word 0x00 "TCD23_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xB8036++0x01 line.word 0x00 "TCD23_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xB8038++0x03 line.long 0x00 "TCD23_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xB803C++0x01 line.word 0x00 "TCD23_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xB803E++0x01 line.word 0x00 "TCD23_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xB803E++0x01 line.word 0x00 "TCD23_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xC0000++0x03 line.long 0x00 "CH24_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xC0004++0x03 line.long 0x00 "CH24_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xC0008++0x03 line.long 0x00 "CH24_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xC000C++0x03 line.long 0x00 "CH24_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC0010++0x03 line.long 0x00 "CH24_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xC0014++0x03 line.long 0x00 "CH24_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xC0018++0x01 line.word 0x00 "CH24_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC0020++0x03 line.long 0x00 "TCD24_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xC0024++0x01 line.word 0x00 "TCD24_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xC0026++0x01 line.word 0x00 "TCD24_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xC0028++0x03 line.long 0x00 "TCD24_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xC0028++0x03 line.long 0x00 "TCD24_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xC002C++0x03 line.long 0x00 "TCD24_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xC0030++0x03 line.long 0x00 "TCD24_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xC0034++0x01 line.word 0x00 "TCD24_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xC0036++0x01 line.word 0x00 "TCD24_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xC0036++0x01 line.word 0x00 "TCD24_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xC0038++0x03 line.long 0x00 "TCD24_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xC003C++0x01 line.word 0x00 "TCD24_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xC003E++0x01 line.word 0x00 "TCD24_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xC003E++0x01 line.word 0x00 "TCD24_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xC8000++0x03 line.long 0x00 "CH25_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xC8004++0x03 line.long 0x00 "CH25_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xC8008++0x03 line.long 0x00 "CH25_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xC800C++0x03 line.long 0x00 "CH25_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8010++0x03 line.long 0x00 "CH25_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xC8014++0x03 line.long 0x00 "CH25_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xC8018++0x01 line.word 0x00 "CH25_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8020++0x03 line.long 0x00 "TCD25_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xC8024++0x01 line.word 0x00 "TCD25_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xC8026++0x01 line.word 0x00 "TCD25_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xC8028++0x03 line.long 0x00 "TCD25_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xC8028++0x03 line.long 0x00 "TCD25_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xC802C++0x03 line.long 0x00 "TCD25_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xC8030++0x03 line.long 0x00 "TCD25_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xC8034++0x01 line.word 0x00 "TCD25_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xC8036++0x01 line.word 0x00 "TCD25_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xC8036++0x01 line.word 0x00 "TCD25_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xC8038++0x03 line.long 0x00 "TCD25_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xC803C++0x01 line.word 0x00 "TCD25_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xC803E++0x01 line.word 0x00 "TCD25_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xC803E++0x01 line.word 0x00 "TCD25_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xD0000++0x03 line.long 0x00 "CH26_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xD0004++0x03 line.long 0x00 "CH26_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xD0008++0x03 line.long 0x00 "CH26_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xD000C++0x03 line.long 0x00 "CH26_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD0010++0x03 line.long 0x00 "CH26_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xD0014++0x03 line.long 0x00 "CH26_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xD0018++0x01 line.word 0x00 "CH26_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD0020++0x03 line.long 0x00 "TCD26_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xD0024++0x01 line.word 0x00 "TCD26_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xD0026++0x01 line.word 0x00 "TCD26_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xD0028++0x03 line.long 0x00 "TCD26_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xD0028++0x03 line.long 0x00 "TCD26_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xD002C++0x03 line.long 0x00 "TCD26_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xD0030++0x03 line.long 0x00 "TCD26_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xD0034++0x01 line.word 0x00 "TCD26_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xD0036++0x01 line.word 0x00 "TCD26_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xD0036++0x01 line.word 0x00 "TCD26_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xD0038++0x03 line.long 0x00 "TCD26_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xD003C++0x01 line.word 0x00 "TCD26_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xD003E++0x01 line.word 0x00 "TCD26_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xD003E++0x01 line.word 0x00 "TCD26_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xD8000++0x03 line.long 0x00 "CH27_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xD8004++0x03 line.long 0x00 "CH27_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xD8008++0x03 line.long 0x00 "CH27_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xD800C++0x03 line.long 0x00 "CH27_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD8010++0x03 line.long 0x00 "CH27_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xD8014++0x03 line.long 0x00 "CH27_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xD8018++0x01 line.word 0x00 "CH27_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD8020++0x03 line.long 0x00 "TCD27_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xD8024++0x01 line.word 0x00 "TCD27_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xD8026++0x01 line.word 0x00 "TCD27_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xD8028++0x03 line.long 0x00 "TCD27_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xD8028++0x03 line.long 0x00 "TCD27_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xD802C++0x03 line.long 0x00 "TCD27_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xD8030++0x03 line.long 0x00 "TCD27_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xD8034++0x01 line.word 0x00 "TCD27_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xD8036++0x01 line.word 0x00 "TCD27_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xD8036++0x01 line.word 0x00 "TCD27_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xD8038++0x03 line.long 0x00 "TCD27_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xD803C++0x01 line.word 0x00 "TCD27_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xD803E++0x01 line.word 0x00 "TCD27_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xD803E++0x01 line.word 0x00 "TCD27_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xE0000++0x03 line.long 0x00 "CH28_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xE0004++0x03 line.long 0x00 "CH28_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xE0008++0x03 line.long 0x00 "CH28_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xE000C++0x03 line.long 0x00 "CH28_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xE0010++0x03 line.long 0x00 "CH28_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xE0014++0x03 line.long 0x00 "CH28_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xE0018++0x01 line.word 0x00 "CH28_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xE0020++0x03 line.long 0x00 "TCD28_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xE0024++0x01 line.word 0x00 "TCD28_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xE0026++0x01 line.word 0x00 "TCD28_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xE0028++0x03 line.long 0x00 "TCD28_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xE0028++0x03 line.long 0x00 "TCD28_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xE002C++0x03 line.long 0x00 "TCD28_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xE0030++0x03 line.long 0x00 "TCD28_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xE0034++0x01 line.word 0x00 "TCD28_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xE0036++0x01 line.word 0x00 "TCD28_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xE0036++0x01 line.word 0x00 "TCD28_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xE0038++0x03 line.long 0x00 "TCD28_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xE003C++0x01 line.word 0x00 "TCD28_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xE003E++0x01 line.word 0x00 "TCD28_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xE003E++0x01 line.word 0x00 "TCD28_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xE8000++0x03 line.long 0x00 "CH29_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xE8004++0x03 line.long 0x00 "CH29_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xE8008++0x03 line.long 0x00 "CH29_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xE800C++0x03 line.long 0x00 "CH29_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xE8010++0x03 line.long 0x00 "CH29_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xE8014++0x03 line.long 0x00 "CH29_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xE8018++0x01 line.word 0x00 "CH29_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xE8020++0x03 line.long 0x00 "TCD29_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xE8024++0x01 line.word 0x00 "TCD29_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xE8026++0x01 line.word 0x00 "TCD29_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xE8028++0x03 line.long 0x00 "TCD29_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xE8028++0x03 line.long 0x00 "TCD29_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xE802C++0x03 line.long 0x00 "TCD29_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xE8030++0x03 line.long 0x00 "TCD29_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xE8034++0x01 line.word 0x00 "TCD29_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xE8036++0x01 line.word 0x00 "TCD29_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xE8036++0x01 line.word 0x00 "TCD29_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xE8038++0x03 line.long 0x00 "TCD29_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xE803C++0x01 line.word 0x00 "TCD29_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xE803E++0x01 line.word 0x00 "TCD29_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xE803E++0x01 line.word 0x00 "TCD29_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xF0000++0x03 line.long 0x00 "CH30_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xF0004++0x03 line.long 0x00 "CH30_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xF0008++0x03 line.long 0x00 "CH30_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xF000C++0x03 line.long 0x00 "CH30_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xF0010++0x03 line.long 0x00 "CH30_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xF0014++0x03 line.long 0x00 "CH30_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xF0018++0x01 line.word 0x00 "CH30_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xF0020++0x03 line.long 0x00 "TCD30_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xF0024++0x01 line.word 0x00 "TCD30_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xF0026++0x01 line.word 0x00 "TCD30_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xF0028++0x03 line.long 0x00 "TCD30_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xF0028++0x03 line.long 0x00 "TCD30_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xF002C++0x03 line.long 0x00 "TCD30_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xF0030++0x03 line.long 0x00 "TCD30_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xF0034++0x01 line.word 0x00 "TCD30_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xF0036++0x01 line.word 0x00 "TCD30_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xF0036++0x01 line.word 0x00 "TCD30_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xF0038++0x03 line.long 0x00 "TCD30_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xF003C++0x01 line.word 0x00 "TCD30_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xF003E++0x01 line.word 0x00 "TCD30_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xF003E++0x01 line.word 0x00 "TCD30_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0xF8000++0x03 line.long 0x00 "CH31_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0xF8004++0x03 line.long 0x00 "CH31_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0xF8008++0x03 line.long 0x00 "CH31_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0xF800C++0x03 line.long 0x00 "CH31_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xF8010++0x03 line.long 0x00 "CH31_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xF8014++0x03 line.long 0x00 "CH31_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0xF8018++0x01 line.word 0x00 "CH31_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xF8020++0x03 line.long 0x00 "TCD31_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xF8024++0x01 line.word 0x00 "TCD31_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0xF8026++0x01 line.word 0x00 "TCD31_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0xF8028++0x03 line.long 0x00 "TCD31_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xF8028++0x03 line.long 0x00 "TCD31_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0xF802C++0x03 line.long 0x00 "TCD31_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xF8030++0x03 line.long 0x00 "TCD31_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xF8034++0x01 line.word 0x00 "TCD31_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xF8036++0x01 line.word 0x00 "TCD31_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xF8036++0x01 line.word 0x00 "TCD31_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xF8038++0x03 line.long 0x00 "TCD31_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0xF803C++0x01 line.word 0x00 "TCD31_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0xF803E++0x01 line.word 0x00 "TCD31_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xF803E++0x01 line.word 0x00 "TCD31_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x100000++0x03 line.long 0x00 "CH32_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x100004++0x03 line.long 0x00 "CH32_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x100008++0x03 line.long 0x00 "CH32_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x10000C++0x03 line.long 0x00 "CH32_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x100010++0x03 line.long 0x00 "CH32_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x100014++0x03 line.long 0x00 "CH32_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x100018++0x01 line.word 0x00 "CH32_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x100020++0x03 line.long 0x00 "TCD32_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x100024++0x01 line.word 0x00 "TCD32_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x100026++0x01 line.word 0x00 "TCD32_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x100028++0x03 line.long 0x00 "TCD32_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x100028++0x03 line.long 0x00 "TCD32_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x10002C++0x03 line.long 0x00 "TCD32_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x100030++0x03 line.long 0x00 "TCD32_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x100034++0x01 line.word 0x00 "TCD32_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x100036++0x01 line.word 0x00 "TCD32_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x100036++0x01 line.word 0x00 "TCD32_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x100038++0x03 line.long 0x00 "TCD32_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x10003C++0x01 line.word 0x00 "TCD32_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x10003E++0x01 line.word 0x00 "TCD32_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x10003E++0x01 line.word 0x00 "TCD32_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x108000++0x03 line.long 0x00 "CH33_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x108004++0x03 line.long 0x00 "CH33_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x108008++0x03 line.long 0x00 "CH33_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x10800C++0x03 line.long 0x00 "CH33_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x108010++0x03 line.long 0x00 "CH33_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x108014++0x03 line.long 0x00 "CH33_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x108018++0x01 line.word 0x00 "CH33_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x108020++0x03 line.long 0x00 "TCD33_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x108024++0x01 line.word 0x00 "TCD33_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x108026++0x01 line.word 0x00 "TCD33_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x108028++0x03 line.long 0x00 "TCD33_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x108028++0x03 line.long 0x00 "TCD33_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x10802C++0x03 line.long 0x00 "TCD33_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x108030++0x03 line.long 0x00 "TCD33_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x108034++0x01 line.word 0x00 "TCD33_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x108036++0x01 line.word 0x00 "TCD33_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x108036++0x01 line.word 0x00 "TCD33_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x108038++0x03 line.long 0x00 "TCD33_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x10803C++0x01 line.word 0x00 "TCD33_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x10803E++0x01 line.word 0x00 "TCD33_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x10803E++0x01 line.word 0x00 "TCD33_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x110000++0x03 line.long 0x00 "CH34_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x110004++0x03 line.long 0x00 "CH34_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x110008++0x03 line.long 0x00 "CH34_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x11000C++0x03 line.long 0x00 "CH34_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x110010++0x03 line.long 0x00 "CH34_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x110014++0x03 line.long 0x00 "CH34_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x110018++0x01 line.word 0x00 "CH34_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x110020++0x03 line.long 0x00 "TCD34_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x110024++0x01 line.word 0x00 "TCD34_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x110026++0x01 line.word 0x00 "TCD34_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x110028++0x03 line.long 0x00 "TCD34_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x110028++0x03 line.long 0x00 "TCD34_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x11002C++0x03 line.long 0x00 "TCD34_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x110030++0x03 line.long 0x00 "TCD34_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x110034++0x01 line.word 0x00 "TCD34_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x110036++0x01 line.word 0x00 "TCD34_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x110036++0x01 line.word 0x00 "TCD34_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x110038++0x03 line.long 0x00 "TCD34_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x11003C++0x01 line.word 0x00 "TCD34_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x11003E++0x01 line.word 0x00 "TCD34_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x11003E++0x01 line.word 0x00 "TCD34_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x118000++0x03 line.long 0x00 "CH35_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x118004++0x03 line.long 0x00 "CH35_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x118008++0x03 line.long 0x00 "CH35_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x11800C++0x03 line.long 0x00 "CH35_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x118010++0x03 line.long 0x00 "CH35_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x118014++0x03 line.long 0x00 "CH35_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x118018++0x01 line.word 0x00 "CH35_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x118020++0x03 line.long 0x00 "TCD35_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x118024++0x01 line.word 0x00 "TCD35_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x118026++0x01 line.word 0x00 "TCD35_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x118028++0x03 line.long 0x00 "TCD35_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x118028++0x03 line.long 0x00 "TCD35_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x11802C++0x03 line.long 0x00 "TCD35_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x118030++0x03 line.long 0x00 "TCD35_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x118034++0x01 line.word 0x00 "TCD35_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x118036++0x01 line.word 0x00 "TCD35_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x118036++0x01 line.word 0x00 "TCD35_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x118038++0x03 line.long 0x00 "TCD35_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x11803C++0x01 line.word 0x00 "TCD35_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x11803E++0x01 line.word 0x00 "TCD35_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x11803E++0x01 line.word 0x00 "TCD35_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x120000++0x03 line.long 0x00 "CH36_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x120004++0x03 line.long 0x00 "CH36_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x120008++0x03 line.long 0x00 "CH36_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x12000C++0x03 line.long 0x00 "CH36_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x120010++0x03 line.long 0x00 "CH36_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x120014++0x03 line.long 0x00 "CH36_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x120018++0x01 line.word 0x00 "CH36_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x120020++0x03 line.long 0x00 "TCD36_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x120024++0x01 line.word 0x00 "TCD36_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x120026++0x01 line.word 0x00 "TCD36_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x120028++0x03 line.long 0x00 "TCD36_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x120028++0x03 line.long 0x00 "TCD36_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x12002C++0x03 line.long 0x00 "TCD36_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x120030++0x03 line.long 0x00 "TCD36_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x120034++0x01 line.word 0x00 "TCD36_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x120036++0x01 line.word 0x00 "TCD36_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x120036++0x01 line.word 0x00 "TCD36_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x120038++0x03 line.long 0x00 "TCD36_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x12003C++0x01 line.word 0x00 "TCD36_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x12003E++0x01 line.word 0x00 "TCD36_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x12003E++0x01 line.word 0x00 "TCD36_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x128000++0x03 line.long 0x00 "CH37_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x128004++0x03 line.long 0x00 "CH37_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x128008++0x03 line.long 0x00 "CH37_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x12800C++0x03 line.long 0x00 "CH37_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x128010++0x03 line.long 0x00 "CH37_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x128014++0x03 line.long 0x00 "CH37_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x128018++0x01 line.word 0x00 "CH37_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x128020++0x03 line.long 0x00 "TCD37_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x128024++0x01 line.word 0x00 "TCD37_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x128026++0x01 line.word 0x00 "TCD37_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x128028++0x03 line.long 0x00 "TCD37_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x128028++0x03 line.long 0x00 "TCD37_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x12802C++0x03 line.long 0x00 "TCD37_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x128030++0x03 line.long 0x00 "TCD37_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x128034++0x01 line.word 0x00 "TCD37_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x128036++0x01 line.word 0x00 "TCD37_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x128036++0x01 line.word 0x00 "TCD37_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x128038++0x03 line.long 0x00 "TCD37_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x12803C++0x01 line.word 0x00 "TCD37_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x12803E++0x01 line.word 0x00 "TCD37_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x12803E++0x01 line.word 0x00 "TCD37_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x130000++0x03 line.long 0x00 "CH38_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x130004++0x03 line.long 0x00 "CH38_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x130008++0x03 line.long 0x00 "CH38_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x13000C++0x03 line.long 0x00 "CH38_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x130010++0x03 line.long 0x00 "CH38_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x130014++0x03 line.long 0x00 "CH38_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x130018++0x01 line.word 0x00 "CH38_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x130020++0x03 line.long 0x00 "TCD38_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x130024++0x01 line.word 0x00 "TCD38_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x130026++0x01 line.word 0x00 "TCD38_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x130028++0x03 line.long 0x00 "TCD38_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x130028++0x03 line.long 0x00 "TCD38_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x13002C++0x03 line.long 0x00 "TCD38_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x130030++0x03 line.long 0x00 "TCD38_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x130034++0x01 line.word 0x00 "TCD38_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x130036++0x01 line.word 0x00 "TCD38_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x130036++0x01 line.word 0x00 "TCD38_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x130038++0x03 line.long 0x00 "TCD38_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x13003C++0x01 line.word 0x00 "TCD38_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x13003E++0x01 line.word 0x00 "TCD38_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x13003E++0x01 line.word 0x00 "TCD38_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x138000++0x03 line.long 0x00 "CH39_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x138004++0x03 line.long 0x00 "CH39_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x138008++0x03 line.long 0x00 "CH39_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x13800C++0x03 line.long 0x00 "CH39_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x138010++0x03 line.long 0x00 "CH39_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x138014++0x03 line.long 0x00 "CH39_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x138018++0x01 line.word 0x00 "CH39_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x138020++0x03 line.long 0x00 "TCD39_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x138024++0x01 line.word 0x00 "TCD39_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x138026++0x01 line.word 0x00 "TCD39_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x138028++0x03 line.long 0x00 "TCD39_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x138028++0x03 line.long 0x00 "TCD39_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x13802C++0x03 line.long 0x00 "TCD39_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x138030++0x03 line.long 0x00 "TCD39_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x138034++0x01 line.word 0x00 "TCD39_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x138036++0x01 line.word 0x00 "TCD39_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x138036++0x01 line.word 0x00 "TCD39_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x138038++0x03 line.long 0x00 "TCD39_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x13803C++0x01 line.word 0x00 "TCD39_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x13803E++0x01 line.word 0x00 "TCD39_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x13803E++0x01 line.word 0x00 "TCD39_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x140000++0x03 line.long 0x00 "CH40_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x140004++0x03 line.long 0x00 "CH40_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x140008++0x03 line.long 0x00 "CH40_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x14000C++0x03 line.long 0x00 "CH40_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x140010++0x03 line.long 0x00 "CH40_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x140014++0x03 line.long 0x00 "CH40_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x140018++0x01 line.word 0x00 "CH40_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x140020++0x03 line.long 0x00 "TCD40_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x140024++0x01 line.word 0x00 "TCD40_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x140026++0x01 line.word 0x00 "TCD40_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x140028++0x03 line.long 0x00 "TCD40_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x140028++0x03 line.long 0x00 "TCD40_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x14002C++0x03 line.long 0x00 "TCD40_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x140030++0x03 line.long 0x00 "TCD40_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x140034++0x01 line.word 0x00 "TCD40_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x140036++0x01 line.word 0x00 "TCD40_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x140036++0x01 line.word 0x00 "TCD40_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x140038++0x03 line.long 0x00 "TCD40_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x14003C++0x01 line.word 0x00 "TCD40_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x14003E++0x01 line.word 0x00 "TCD40_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x14003E++0x01 line.word 0x00 "TCD40_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x148000++0x03 line.long 0x00 "CH41_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x148004++0x03 line.long 0x00 "CH41_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x148008++0x03 line.long 0x00 "CH41_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x14800C++0x03 line.long 0x00 "CH41_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x148010++0x03 line.long 0x00 "CH41_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x148014++0x03 line.long 0x00 "CH41_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x148018++0x01 line.word 0x00 "CH41_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x148020++0x03 line.long 0x00 "TCD41_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x148024++0x01 line.word 0x00 "TCD41_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x148026++0x01 line.word 0x00 "TCD41_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x148028++0x03 line.long 0x00 "TCD41_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x148028++0x03 line.long 0x00 "TCD41_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x14802C++0x03 line.long 0x00 "TCD41_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x148030++0x03 line.long 0x00 "TCD41_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x148034++0x01 line.word 0x00 "TCD41_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x148036++0x01 line.word 0x00 "TCD41_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x148036++0x01 line.word 0x00 "TCD41_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x148038++0x03 line.long 0x00 "TCD41_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x14803C++0x01 line.word 0x00 "TCD41_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x14803E++0x01 line.word 0x00 "TCD41_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x14803E++0x01 line.word 0x00 "TCD41_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x150000++0x03 line.long 0x00 "CH42_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x150004++0x03 line.long 0x00 "CH42_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x150008++0x03 line.long 0x00 "CH42_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x15000C++0x03 line.long 0x00 "CH42_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x150010++0x03 line.long 0x00 "CH42_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x150014++0x03 line.long 0x00 "CH42_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x150018++0x01 line.word 0x00 "CH42_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x150020++0x03 line.long 0x00 "TCD42_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x150024++0x01 line.word 0x00 "TCD42_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x150026++0x01 line.word 0x00 "TCD42_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x150028++0x03 line.long 0x00 "TCD42_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x150028++0x03 line.long 0x00 "TCD42_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x15002C++0x03 line.long 0x00 "TCD42_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x150030++0x03 line.long 0x00 "TCD42_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x150034++0x01 line.word 0x00 "TCD42_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x150036++0x01 line.word 0x00 "TCD42_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x150036++0x01 line.word 0x00 "TCD42_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x150038++0x03 line.long 0x00 "TCD42_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x15003C++0x01 line.word 0x00 "TCD42_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x15003E++0x01 line.word 0x00 "TCD42_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x15003E++0x01 line.word 0x00 "TCD42_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x158000++0x03 line.long 0x00 "CH43_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x158004++0x03 line.long 0x00 "CH43_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x158008++0x03 line.long 0x00 "CH43_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x15800C++0x03 line.long 0x00 "CH43_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x158010++0x03 line.long 0x00 "CH43_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x158014++0x03 line.long 0x00 "CH43_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x158018++0x01 line.word 0x00 "CH43_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x158020++0x03 line.long 0x00 "TCD43_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x158024++0x01 line.word 0x00 "TCD43_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x158026++0x01 line.word 0x00 "TCD43_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x158028++0x03 line.long 0x00 "TCD43_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x158028++0x03 line.long 0x00 "TCD43_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x15802C++0x03 line.long 0x00 "TCD43_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x158030++0x03 line.long 0x00 "TCD43_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x158034++0x01 line.word 0x00 "TCD43_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x158036++0x01 line.word 0x00 "TCD43_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x158036++0x01 line.word 0x00 "TCD43_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x158038++0x03 line.long 0x00 "TCD43_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x15803C++0x01 line.word 0x00 "TCD43_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x15803E++0x01 line.word 0x00 "TCD43_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x15803E++0x01 line.word 0x00 "TCD43_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x160000++0x03 line.long 0x00 "CH44_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x160004++0x03 line.long 0x00 "CH44_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x160008++0x03 line.long 0x00 "CH44_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x16000C++0x03 line.long 0x00 "CH44_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x160010++0x03 line.long 0x00 "CH44_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x160014++0x03 line.long 0x00 "CH44_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x160018++0x01 line.word 0x00 "CH44_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x160020++0x03 line.long 0x00 "TCD44_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x160024++0x01 line.word 0x00 "TCD44_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x160026++0x01 line.word 0x00 "TCD44_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x160028++0x03 line.long 0x00 "TCD44_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x160028++0x03 line.long 0x00 "TCD44_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x16002C++0x03 line.long 0x00 "TCD44_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x160030++0x03 line.long 0x00 "TCD44_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x160034++0x01 line.word 0x00 "TCD44_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x160036++0x01 line.word 0x00 "TCD44_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x160036++0x01 line.word 0x00 "TCD44_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x160038++0x03 line.long 0x00 "TCD44_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x16003C++0x01 line.word 0x00 "TCD44_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x16003E++0x01 line.word 0x00 "TCD44_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x16003E++0x01 line.word 0x00 "TCD44_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x168000++0x03 line.long 0x00 "CH45_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x168004++0x03 line.long 0x00 "CH45_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x168008++0x03 line.long 0x00 "CH45_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x16800C++0x03 line.long 0x00 "CH45_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x168010++0x03 line.long 0x00 "CH45_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x168014++0x03 line.long 0x00 "CH45_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x168018++0x01 line.word 0x00 "CH45_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x168020++0x03 line.long 0x00 "TCD45_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x168024++0x01 line.word 0x00 "TCD45_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x168026++0x01 line.word 0x00 "TCD45_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x168028++0x03 line.long 0x00 "TCD45_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x168028++0x03 line.long 0x00 "TCD45_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x16802C++0x03 line.long 0x00 "TCD45_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x168030++0x03 line.long 0x00 "TCD45_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x168034++0x01 line.word 0x00 "TCD45_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x168036++0x01 line.word 0x00 "TCD45_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x168036++0x01 line.word 0x00 "TCD45_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x168038++0x03 line.long 0x00 "TCD45_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x16803C++0x01 line.word 0x00 "TCD45_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x16803E++0x01 line.word 0x00 "TCD45_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x16803E++0x01 line.word 0x00 "TCD45_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x170000++0x03 line.long 0x00 "CH46_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x170004++0x03 line.long 0x00 "CH46_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x170008++0x03 line.long 0x00 "CH46_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x17000C++0x03 line.long 0x00 "CH46_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x170010++0x03 line.long 0x00 "CH46_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x170014++0x03 line.long 0x00 "CH46_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x170018++0x01 line.word 0x00 "CH46_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x170020++0x03 line.long 0x00 "TCD46_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x170024++0x01 line.word 0x00 "TCD46_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x170026++0x01 line.word 0x00 "TCD46_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x170028++0x03 line.long 0x00 "TCD46_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x170028++0x03 line.long 0x00 "TCD46_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x17002C++0x03 line.long 0x00 "TCD46_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x170030++0x03 line.long 0x00 "TCD46_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x170034++0x01 line.word 0x00 "TCD46_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x170036++0x01 line.word 0x00 "TCD46_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x170036++0x01 line.word 0x00 "TCD46_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x170038++0x03 line.long 0x00 "TCD46_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x17003C++0x01 line.word 0x00 "TCD46_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x17003E++0x01 line.word 0x00 "TCD46_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x17003E++0x01 line.word 0x00 "TCD46_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x178000++0x03 line.long 0x00 "CH47_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x178004++0x03 line.long 0x00 "CH47_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x178008++0x03 line.long 0x00 "CH47_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x17800C++0x03 line.long 0x00 "CH47_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x178010++0x03 line.long 0x00 "CH47_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x178014++0x03 line.long 0x00 "CH47_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x178018++0x01 line.word 0x00 "CH47_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x178020++0x03 line.long 0x00 "TCD47_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x178024++0x01 line.word 0x00 "TCD47_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x178026++0x01 line.word 0x00 "TCD47_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x178028++0x03 line.long 0x00 "TCD47_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x178028++0x03 line.long 0x00 "TCD47_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x17802C++0x03 line.long 0x00 "TCD47_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x178030++0x03 line.long 0x00 "TCD47_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x178034++0x01 line.word 0x00 "TCD47_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x178036++0x01 line.word 0x00 "TCD47_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x178036++0x01 line.word 0x00 "TCD47_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x178038++0x03 line.long 0x00 "TCD47_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x17803C++0x01 line.word 0x00 "TCD47_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x17803E++0x01 line.word 0x00 "TCD47_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x17803E++0x01 line.word 0x00 "TCD47_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x180000++0x03 line.long 0x00 "CH48_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x180004++0x03 line.long 0x00 "CH48_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x180008++0x03 line.long 0x00 "CH48_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x18000C++0x03 line.long 0x00 "CH48_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x180010++0x03 line.long 0x00 "CH48_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x180014++0x03 line.long 0x00 "CH48_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x180018++0x01 line.word 0x00 "CH48_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x180020++0x03 line.long 0x00 "TCD48_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x180024++0x01 line.word 0x00 "TCD48_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x180026++0x01 line.word 0x00 "TCD48_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x180028++0x03 line.long 0x00 "TCD48_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x180028++0x03 line.long 0x00 "TCD48_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x18002C++0x03 line.long 0x00 "TCD48_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x180030++0x03 line.long 0x00 "TCD48_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x180034++0x01 line.word 0x00 "TCD48_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x180036++0x01 line.word 0x00 "TCD48_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x180036++0x01 line.word 0x00 "TCD48_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x180038++0x03 line.long 0x00 "TCD48_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x18003C++0x01 line.word 0x00 "TCD48_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x18003E++0x01 line.word 0x00 "TCD48_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x18003E++0x01 line.word 0x00 "TCD48_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x188000++0x03 line.long 0x00 "CH49_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x188004++0x03 line.long 0x00 "CH49_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x188008++0x03 line.long 0x00 "CH49_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x18800C++0x03 line.long 0x00 "CH49_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x188010++0x03 line.long 0x00 "CH49_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x188014++0x03 line.long 0x00 "CH49_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x188018++0x01 line.word 0x00 "CH49_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x188020++0x03 line.long 0x00 "TCD49_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x188024++0x01 line.word 0x00 "TCD49_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x188026++0x01 line.word 0x00 "TCD49_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x188028++0x03 line.long 0x00 "TCD49_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x188028++0x03 line.long 0x00 "TCD49_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x18802C++0x03 line.long 0x00 "TCD49_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x188030++0x03 line.long 0x00 "TCD49_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x188034++0x01 line.word 0x00 "TCD49_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x188036++0x01 line.word 0x00 "TCD49_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x188036++0x01 line.word 0x00 "TCD49_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x188038++0x03 line.long 0x00 "TCD49_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x18803C++0x01 line.word 0x00 "TCD49_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x18803E++0x01 line.word 0x00 "TCD49_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x18803E++0x01 line.word 0x00 "TCD49_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x190000++0x03 line.long 0x00 "CH50_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x190004++0x03 line.long 0x00 "CH50_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x190008++0x03 line.long 0x00 "CH50_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x19000C++0x03 line.long 0x00 "CH50_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x190010++0x03 line.long 0x00 "CH50_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x190014++0x03 line.long 0x00 "CH50_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x190018++0x01 line.word 0x00 "CH50_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x190020++0x03 line.long 0x00 "TCD50_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x190024++0x01 line.word 0x00 "TCD50_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x190026++0x01 line.word 0x00 "TCD50_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x190028++0x03 line.long 0x00 "TCD50_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x190028++0x03 line.long 0x00 "TCD50_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x19002C++0x03 line.long 0x00 "TCD50_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x190030++0x03 line.long 0x00 "TCD50_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x190034++0x01 line.word 0x00 "TCD50_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x190036++0x01 line.word 0x00 "TCD50_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x190036++0x01 line.word 0x00 "TCD50_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x190038++0x03 line.long 0x00 "TCD50_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x19003C++0x01 line.word 0x00 "TCD50_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x19003E++0x01 line.word 0x00 "TCD50_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x19003E++0x01 line.word 0x00 "TCD50_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x198000++0x03 line.long 0x00 "CH51_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x198004++0x03 line.long 0x00 "CH51_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x198008++0x03 line.long 0x00 "CH51_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x19800C++0x03 line.long 0x00 "CH51_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x198010++0x03 line.long 0x00 "CH51_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x198014++0x03 line.long 0x00 "CH51_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x198018++0x01 line.word 0x00 "CH51_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x198020++0x03 line.long 0x00 "TCD51_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x198024++0x01 line.word 0x00 "TCD51_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x198026++0x01 line.word 0x00 "TCD51_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x198028++0x03 line.long 0x00 "TCD51_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x198028++0x03 line.long 0x00 "TCD51_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x19802C++0x03 line.long 0x00 "TCD51_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x198030++0x03 line.long 0x00 "TCD51_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x198034++0x01 line.word 0x00 "TCD51_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x198036++0x01 line.word 0x00 "TCD51_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x198036++0x01 line.word 0x00 "TCD51_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x198038++0x03 line.long 0x00 "TCD51_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x19803C++0x01 line.word 0x00 "TCD51_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x19803E++0x01 line.word 0x00 "TCD51_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x19803E++0x01 line.word 0x00 "TCD51_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1A0000++0x03 line.long 0x00 "CH52_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1A0004++0x03 line.long 0x00 "CH52_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1A0008++0x03 line.long 0x00 "CH52_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1A000C++0x03 line.long 0x00 "CH52_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A0010++0x03 line.long 0x00 "CH52_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1A0014++0x03 line.long 0x00 "CH52_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1A0018++0x01 line.word 0x00 "CH52_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A0020++0x03 line.long 0x00 "TCD52_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1A0024++0x01 line.word 0x00 "TCD52_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1A0026++0x01 line.word 0x00 "TCD52_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1A0028++0x03 line.long 0x00 "TCD52_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1A0028++0x03 line.long 0x00 "TCD52_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1A002C++0x03 line.long 0x00 "TCD52_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1A0030++0x03 line.long 0x00 "TCD52_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1A0034++0x01 line.word 0x00 "TCD52_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1A0036++0x01 line.word 0x00 "TCD52_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1A0036++0x01 line.word 0x00 "TCD52_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1A0038++0x03 line.long 0x00 "TCD52_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1A003C++0x01 line.word 0x00 "TCD52_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1A003E++0x01 line.word 0x00 "TCD52_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1A003E++0x01 line.word 0x00 "TCD52_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1A8000++0x03 line.long 0x00 "CH53_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1A8004++0x03 line.long 0x00 "CH53_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1A8008++0x03 line.long 0x00 "CH53_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1A800C++0x03 line.long 0x00 "CH53_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A8010++0x03 line.long 0x00 "CH53_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1A8014++0x03 line.long 0x00 "CH53_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1A8018++0x01 line.word 0x00 "CH53_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A8020++0x03 line.long 0x00 "TCD53_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1A8024++0x01 line.word 0x00 "TCD53_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1A8026++0x01 line.word 0x00 "TCD53_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1A8028++0x03 line.long 0x00 "TCD53_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1A8028++0x03 line.long 0x00 "TCD53_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1A802C++0x03 line.long 0x00 "TCD53_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1A8030++0x03 line.long 0x00 "TCD53_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1A8034++0x01 line.word 0x00 "TCD53_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1A8036++0x01 line.word 0x00 "TCD53_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1A8036++0x01 line.word 0x00 "TCD53_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1A8038++0x03 line.long 0x00 "TCD53_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1A803C++0x01 line.word 0x00 "TCD53_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1A803E++0x01 line.word 0x00 "TCD53_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1A803E++0x01 line.word 0x00 "TCD53_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1B0000++0x03 line.long 0x00 "CH54_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1B0004++0x03 line.long 0x00 "CH54_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1B0008++0x03 line.long 0x00 "CH54_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1B000C++0x03 line.long 0x00 "CH54_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1B0010++0x03 line.long 0x00 "CH54_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1B0014++0x03 line.long 0x00 "CH54_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1B0018++0x01 line.word 0x00 "CH54_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1B0020++0x03 line.long 0x00 "TCD54_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1B0024++0x01 line.word 0x00 "TCD54_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1B0026++0x01 line.word 0x00 "TCD54_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1B0028++0x03 line.long 0x00 "TCD54_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1B0028++0x03 line.long 0x00 "TCD54_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1B002C++0x03 line.long 0x00 "TCD54_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1B0030++0x03 line.long 0x00 "TCD54_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1B0034++0x01 line.word 0x00 "TCD54_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1B0036++0x01 line.word 0x00 "TCD54_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1B0036++0x01 line.word 0x00 "TCD54_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1B0038++0x03 line.long 0x00 "TCD54_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1B003C++0x01 line.word 0x00 "TCD54_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1B003E++0x01 line.word 0x00 "TCD54_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1B003E++0x01 line.word 0x00 "TCD54_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1B8000++0x03 line.long 0x00 "CH55_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1B8004++0x03 line.long 0x00 "CH55_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1B8008++0x03 line.long 0x00 "CH55_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1B800C++0x03 line.long 0x00 "CH55_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1B8010++0x03 line.long 0x00 "CH55_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1B8014++0x03 line.long 0x00 "CH55_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1B8018++0x01 line.word 0x00 "CH55_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1B8020++0x03 line.long 0x00 "TCD55_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1B8024++0x01 line.word 0x00 "TCD55_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1B8026++0x01 line.word 0x00 "TCD55_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1B8028++0x03 line.long 0x00 "TCD55_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1B8028++0x03 line.long 0x00 "TCD55_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1B802C++0x03 line.long 0x00 "TCD55_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1B8030++0x03 line.long 0x00 "TCD55_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1B8034++0x01 line.word 0x00 "TCD55_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1B8036++0x01 line.word 0x00 "TCD55_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1B8036++0x01 line.word 0x00 "TCD55_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1B8038++0x03 line.long 0x00 "TCD55_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1B803C++0x01 line.word 0x00 "TCD55_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1B803E++0x01 line.word 0x00 "TCD55_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1B803E++0x01 line.word 0x00 "TCD55_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1C0000++0x03 line.long 0x00 "CH56_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1C0004++0x03 line.long 0x00 "CH56_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1C0008++0x03 line.long 0x00 "CH56_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1C000C++0x03 line.long 0x00 "CH56_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C0010++0x03 line.long 0x00 "CH56_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1C0014++0x03 line.long 0x00 "CH56_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1C0018++0x01 line.word 0x00 "CH56_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C0020++0x03 line.long 0x00 "TCD56_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1C0024++0x01 line.word 0x00 "TCD56_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1C0026++0x01 line.word 0x00 "TCD56_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1C0028++0x03 line.long 0x00 "TCD56_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1C0028++0x03 line.long 0x00 "TCD56_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1C002C++0x03 line.long 0x00 "TCD56_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1C0030++0x03 line.long 0x00 "TCD56_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1C0034++0x01 line.word 0x00 "TCD56_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1C0036++0x01 line.word 0x00 "TCD56_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1C0036++0x01 line.word 0x00 "TCD56_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1C0038++0x03 line.long 0x00 "TCD56_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1C003C++0x01 line.word 0x00 "TCD56_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1C003E++0x01 line.word 0x00 "TCD56_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1C003E++0x01 line.word 0x00 "TCD56_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1C8000++0x03 line.long 0x00 "CH57_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1C8004++0x03 line.long 0x00 "CH57_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1C8008++0x03 line.long 0x00 "CH57_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1C800C++0x03 line.long 0x00 "CH57_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C8010++0x03 line.long 0x00 "CH57_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1C8014++0x03 line.long 0x00 "CH57_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1C8018++0x01 line.word 0x00 "CH57_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C8020++0x03 line.long 0x00 "TCD57_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1C8024++0x01 line.word 0x00 "TCD57_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1C8026++0x01 line.word 0x00 "TCD57_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1C8028++0x03 line.long 0x00 "TCD57_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1C8028++0x03 line.long 0x00 "TCD57_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1C802C++0x03 line.long 0x00 "TCD57_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1C8030++0x03 line.long 0x00 "TCD57_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1C8034++0x01 line.word 0x00 "TCD57_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1C8036++0x01 line.word 0x00 "TCD57_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1C8036++0x01 line.word 0x00 "TCD57_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1C8038++0x03 line.long 0x00 "TCD57_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1C803C++0x01 line.word 0x00 "TCD57_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1C803E++0x01 line.word 0x00 "TCD57_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1C803E++0x01 line.word 0x00 "TCD57_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1D0000++0x03 line.long 0x00 "CH58_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1D0004++0x03 line.long 0x00 "CH58_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1D0008++0x03 line.long 0x00 "CH58_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1D000C++0x03 line.long 0x00 "CH58_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1D0010++0x03 line.long 0x00 "CH58_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1D0014++0x03 line.long 0x00 "CH58_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1D0018++0x01 line.word 0x00 "CH58_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1D0020++0x03 line.long 0x00 "TCD58_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1D0024++0x01 line.word 0x00 "TCD58_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1D0026++0x01 line.word 0x00 "TCD58_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1D0028++0x03 line.long 0x00 "TCD58_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1D0028++0x03 line.long 0x00 "TCD58_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1D002C++0x03 line.long 0x00 "TCD58_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1D0030++0x03 line.long 0x00 "TCD58_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1D0034++0x01 line.word 0x00 "TCD58_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1D0036++0x01 line.word 0x00 "TCD58_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1D0036++0x01 line.word 0x00 "TCD58_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1D0038++0x03 line.long 0x00 "TCD58_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1D003C++0x01 line.word 0x00 "TCD58_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1D003E++0x01 line.word 0x00 "TCD58_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1D003E++0x01 line.word 0x00 "TCD58_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1D8000++0x03 line.long 0x00 "CH59_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1D8004++0x03 line.long 0x00 "CH59_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1D8008++0x03 line.long 0x00 "CH59_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1D800C++0x03 line.long 0x00 "CH59_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1D8010++0x03 line.long 0x00 "CH59_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1D8014++0x03 line.long 0x00 "CH59_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1D8018++0x01 line.word 0x00 "CH59_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1D8020++0x03 line.long 0x00 "TCD59_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1D8024++0x01 line.word 0x00 "TCD59_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1D8026++0x01 line.word 0x00 "TCD59_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1D8028++0x03 line.long 0x00 "TCD59_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1D8028++0x03 line.long 0x00 "TCD59_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1D802C++0x03 line.long 0x00 "TCD59_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1D8030++0x03 line.long 0x00 "TCD59_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1D8034++0x01 line.word 0x00 "TCD59_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1D8036++0x01 line.word 0x00 "TCD59_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1D8036++0x01 line.word 0x00 "TCD59_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1D8038++0x03 line.long 0x00 "TCD59_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1D803C++0x01 line.word 0x00 "TCD59_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1D803E++0x01 line.word 0x00 "TCD59_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1D803E++0x01 line.word 0x00 "TCD59_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1E0000++0x03 line.long 0x00 "CH60_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1E0004++0x03 line.long 0x00 "CH60_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1E0008++0x03 line.long 0x00 "CH60_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1E000C++0x03 line.long 0x00 "CH60_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1E0010++0x03 line.long 0x00 "CH60_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1E0014++0x03 line.long 0x00 "CH60_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1E0018++0x01 line.word 0x00 "CH60_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1E0020++0x03 line.long 0x00 "TCD60_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1E0024++0x01 line.word 0x00 "TCD60_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1E0026++0x01 line.word 0x00 "TCD60_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1E0028++0x03 line.long 0x00 "TCD60_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1E0028++0x03 line.long 0x00 "TCD60_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1E002C++0x03 line.long 0x00 "TCD60_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1E0030++0x03 line.long 0x00 "TCD60_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1E0034++0x01 line.word 0x00 "TCD60_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1E0036++0x01 line.word 0x00 "TCD60_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1E0036++0x01 line.word 0x00 "TCD60_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1E0038++0x03 line.long 0x00 "TCD60_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1E003C++0x01 line.word 0x00 "TCD60_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1E003E++0x01 line.word 0x00 "TCD60_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1E003E++0x01 line.word 0x00 "TCD60_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1E8000++0x03 line.long 0x00 "CH61_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1E8004++0x03 line.long 0x00 "CH61_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1E8008++0x03 line.long 0x00 "CH61_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1E800C++0x03 line.long 0x00 "CH61_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1E8010++0x03 line.long 0x00 "CH61_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1E8014++0x03 line.long 0x00 "CH61_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1E8018++0x01 line.word 0x00 "CH61_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1E8020++0x03 line.long 0x00 "TCD61_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1E8024++0x01 line.word 0x00 "TCD61_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1E8026++0x01 line.word 0x00 "TCD61_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1E8028++0x03 line.long 0x00 "TCD61_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1E8028++0x03 line.long 0x00 "TCD61_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1E802C++0x03 line.long 0x00 "TCD61_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1E8030++0x03 line.long 0x00 "TCD61_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1E8034++0x01 line.word 0x00 "TCD61_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1E8036++0x01 line.word 0x00 "TCD61_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1E8036++0x01 line.word 0x00 "TCD61_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1E8038++0x03 line.long 0x00 "TCD61_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1E803C++0x01 line.word 0x00 "TCD61_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1E803E++0x01 line.word 0x00 "TCD61_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1E803E++0x01 line.word 0x00 "TCD61_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1F0000++0x03 line.long 0x00 "CH62_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1F0004++0x03 line.long 0x00 "CH62_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1F0008++0x03 line.long 0x00 "CH62_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1F000C++0x03 line.long 0x00 "CH62_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1F0010++0x03 line.long 0x00 "CH62_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1F0014++0x03 line.long 0x00 "CH62_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1F0018++0x01 line.word 0x00 "CH62_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1F0020++0x03 line.long 0x00 "TCD62_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1F0024++0x01 line.word 0x00 "TCD62_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1F0026++0x01 line.word 0x00 "TCD62_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1F0028++0x03 line.long 0x00 "TCD62_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1F0028++0x03 line.long 0x00 "TCD62_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1F002C++0x03 line.long 0x00 "TCD62_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1F0030++0x03 line.long 0x00 "TCD62_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1F0034++0x01 line.word 0x00 "TCD62_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1F0036++0x01 line.word 0x00 "TCD62_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1F0036++0x01 line.word 0x00 "TCD62_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1F0038++0x03 line.long 0x00 "TCD62_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1F003C++0x01 line.word 0x00 "TCD62_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1F003E++0x01 line.word 0x00 "TCD62_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1F003E++0x01 line.word 0x00 "TCD62_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" group.long 0x1F8000++0x03 line.long 0x00 "CH63_CSR,Channel Control and Status Register" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 16.--21. "SIGNEXT,Sign Extension" "0: disabled,1: A non-zero value specifing the sign extend..,?..." bitfld.long 0x00 12.--15. "SWAP,Swap size" "0: disabled,1: read with 8-bit swap,2: read with 16-bit swap,3: read with 32-bit swap,?,?,?,?,?,9: write with 8-bit swap,10: write with 16-bit swap,11: write with 32-bit swap,?..." newline bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: The error signal for corresponding channel..,1: The assertion of the error signal for.." bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" newline bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: The DMA hardware request signal for the..,1: The DMA hardware request signal for the.." group.long 0x1F8004++0x03 line.long 0x00 "CH63_ES,Channel Error Status Register" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was a configuration.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: The last recorded error was a configuration.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: The last recorded error was a bus error on a.." newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: The last recorded error was a bus error on a.." group.long 0x1F8008++0x03 line.long 0x00 "CH63_INT,Channel Interrupt Status Register" eventfld.long 0x00 0. "INT,Interrupt Request" "0: The interrupt request for corresponding..,1: The interrupt request for corresponding.." group.long 0x1F800C++0x03 line.long 0x00 "CH63_SBR,Channel System Bus Register" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline bitfld.long 0x00 13. "INSTR,Instruction/Data Access" "0: Data access for DMA transfers,1: Instruction access for DMA transfers" rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1F8010++0x03 line.long 0x00 "CH63_PRI,Channel Priority Register" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: The channel cannot be suspended by a higher..,1: The channel can be temporarily suspended by.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: The channel can suspend a lower priority..,1: The channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1F8014++0x03 line.long 0x00 "CH63_MUX,Channel Multiplexor Configuration" hexmask.long.byte 0x00 0.--6. 1. "SRC,Service Request Source" group.word 0x1F8018++0x01 line.word 0x00 "CH63_MATTR,Memory Attributes Register" bitfld.word 0x00 4.--7. "WCACHE,Write Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 0.--3. "RCACHE,Read Cache Attributes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1F8020++0x03 line.long 0x00 "TCD63_SADDR,TCD Source Address Register" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1F8024++0x01 line.word 0x00 "TCD63_SOFF,TCD Signed Source Address Offset Register" hexmask.word 0x00 0.--15. 1. "SOFF,Source address signed offset" group.word 0x1F8026++0x01 line.word 0x00 "TCD63_ATTR,TCD Transfer Attributes Register" bitfld.word 0x00 11.--15. "SMOD,Source address modulo" "0: Source address modulo feature is disabled,1: Source address modulo feature is enabled for..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" newline bitfld.word 0x00 3.--7. "DMOD,Destination address modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination data transfer size" "0: 8-bit,1: 16-bit,2: 32-bit,3: 64-bit,4: 16-byte,5: 32-byte,6: 64-byte,7: 128-byte" group.long 0x1F8028++0x03 line.long 0x00 "TCD63_NBYTES_MLOFFNO,TCD Transfer Size without Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1F8028++0x03 line.long 0x00 "TCD63_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets Register" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: The minor loop offset is not applied to the..,1: The minor loop offset is applied to the DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes to transfer per service request" group.long 0x1F802C++0x03 line.long 0x00 "TCD63_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address Register" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1F8030++0x03 line.long 0x00 "TCD63_DADDR,TCD Destination Address Register" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1F8034++0x01 line.word 0x00 "TCD63_DOFF,TCD Signed Destination Address Offset Register" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1F8036++0x01 line.word 0x00 "TCD63_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1F8036++0x01 line.word 0x00 "TCD63_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enable channel-to-channel linking on minor-loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1F8038++0x03 line.long 0x00 "TCD63_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address Register" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Final Destination Address Adjustment / Scatter Gather Address" group.word 0x1F803C++0x01 line.word 0x00 "TCD63_CSR,TCD Control and Status Register" bitfld.word 0x00 14.--15. "TMC,Transfer Mode Control" "0: Read/,1: READ_ONLY,2: WRITE_ONLY,?..." bitfld.word 0x00 8.--13. "MAJORLINKCH,Major loop link channel number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "ESDA,Enable store destination address" "0: The store destination address to system..,1: The store destination address to system.." bitfld.word 0x00 6. "EEOP,Enable end-of-packet processing" "0: The end-of-packet operation is disabled,1: The end-of-packet hardware input signal is.." newline bitfld.word 0x00 5. "MAJORELINK,Enable channel-to-channel linking on major loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather processing" "0: The current channel's TCD is normal format,1: The current channel's TCD specifies a scatter.." newline bitfld.word 0x00 3. "DREQ,Disable request" "0: No operation,1: Clear the ERQ bit upon major loop completion.." bitfld.word 0x00 2. "INTHALF,Enable an interrupt when major counter is half complete" "0: The half-point interrupt is disabled,1: The half-point interrupt is enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable an interrupt when major iteration count completes" "0: The end-of-major loop interrupt is disabled,1: The end-of-major loop interrupt is enabled" bitfld.word 0x00 0. "START,Channel Start" "0: The channel is not explicitly started,1: The channel is explicitly started via a.." group.word 0x1F803E++0x01 line.word 0x00 "TCD63_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1F803E++0x01 line.word 0x00 "TCD63_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled) Register" bitfld.word 0x00 15. "ELINK,Enables channel-to-channel linking on minor loop complete" "0: The channel-to-channel linking is disabled,1: The channel-to-channel linking is enabled" bitfld.word 0x00 9.--14. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting major iteration count" tree.end tree "ENET (Ethernet MAC)" base ad:0x42890000 group.long 0x04++0x03 line.long 0x00 "EIR,Interrupt Event Register" eventfld.long 0x00 30. "BABR,Babbling Receive Error" "0,1" eventfld.long 0x00 29. "BABT,Babbling Transmit Error" "0,1" newline eventfld.long 0x00 28. "GRA,Graceful Stop Complete" "0,1" eventfld.long 0x00 27. "TXF,Transmit Frame Interrupt" "0,1" newline eventfld.long 0x00 26. "TXB,Transmit Buffer Interrupt" "0,1" eventfld.long 0x00 25. "RXF,Receive Frame Interrupt" "0,1" newline eventfld.long 0x00 24. "RXB,Receive Buffer Interrupt" "0,1" eventfld.long 0x00 23. "MII,MII Interrupt" "0,1" newline eventfld.long 0x00 22. "EBERR,Ethernet Bus Error" "0,1" eventfld.long 0x00 21. "LC,Late Collision" "0,1" newline eventfld.long 0x00 20. "RL,Collision Retry Limit" "0,1" eventfld.long 0x00 19. "UN,Transmit FIFO Underrun" "0,1" newline eventfld.long 0x00 18. "PLR,Payload Receive Error" "0,1" eventfld.long 0x00 17. "WAKEUP,Node Wakeup Request Indication" "0,1" newline eventfld.long 0x00 16. "TS_AVAIL,Transmit Timestamp Available" "0,1" eventfld.long 0x00 15. "TS_TIMER,Timestamp Timer" "0,1" newline eventfld.long 0x00 14. "RXFLUSH_2,RX DMA Ring 2 flush indication" "0,1" eventfld.long 0x00 13. "RXFLUSH_1,RX DMA Ring 1 flush indication" "0,1" newline eventfld.long 0x00 12. "RXFLUSH_0,RX DMA Ring 0 flush indication" "0,1" eventfld.long 0x00 7. "TXF2,Transmit frame interrupt class 2" "0,1" newline eventfld.long 0x00 6. "TXB2,Transmit buffer interrupt class 2" "0,1" eventfld.long 0x00 5. "RXF2,Receive frame interrupt class 2" "0,1" newline eventfld.long 0x00 4. "RXB2,Receive buffer interrupt class 2" "0,1" eventfld.long 0x00 3. "TXF1,Transmit frame interrupt class 1" "0,1" newline eventfld.long 0x00 2. "TXB1,Transmit buffer interrupt class 1" "0,1" eventfld.long 0x00 1. "RXF1,Receive frame interrupt class 1" "0,1" newline eventfld.long 0x00 0. "RXB1,Receive buffer interrupt class 1" "0,1" group.long 0x08++0x03 line.long 0x00 "EIMR,Interrupt Mask Register" bitfld.long 0x00 30. "BABR,BABR Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 29. "BABT,BABT Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 28. "GRA,GRA Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 27. "TXF,TXF Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 26. "TXB,TXB Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 25. "RXF,RXF Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 24. "RXB,RXB Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 23. "MII,MII Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 22. "EBERR,EBERR Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 21. "LC,LC Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 20. "RL,RL Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 19. "UN,UN Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 18. "PLR,PLR Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 17. "WAKEUP,WAKEUP Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 16. "TS_AVAIL,TS_AVAIL Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 15. "TS_TIMER,TS_TIMER Interrupt Mask" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 14. "RXFLUSH_2,Corresponds to interrupt source EIR[RXFLUSH_2] and determines whether an interrupt condition can generate an interrupt" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 13. "RXFLUSH_1,Corresponds to interrupt source EIR[RXFLUSH_1] and determines whether an interrupt condition can generate an interrupt" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 12. "RXFLUSH_0,Corresponds to interrupt source EIR[RXFLUSH_0] and determines whether an interrupt condition can generate an interrupt" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 7. "TXF2,Transmit frame interrupt class 2" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 6. "TXB2,Transmit buffer interrupt class 2" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 5. "RXF2,Receive frame interrupt class 2" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 4. "RXB2,Receive buffer interrupt class 2" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 3. "TXF1,Transmit frame interrupt class 1" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 2. "TXB1,Transmit buffer interrupt class 1" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." bitfld.long 0x00 1. "RXF1,Receive frame interrupt class 1" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." newline bitfld.long 0x00 0. "RXB1,Receive buffer interrupt class 1" "0: The corresponding interrupt source is masked,1: The corresponding interrupt source is not.." group.long 0x10++0x03 line.long 0x00 "RDAR,Receive Descriptor Active Register - Ring 0" bitfld.long 0x00 24. "RDAR,Receive Descriptor Active" "0,1" group.long 0x14++0x03 line.long 0x00 "TDAR,Transmit Descriptor Active Register - Ring 0" bitfld.long 0x00 24. "TDAR,Transmit Descriptor Active" "0,1" group.long 0x24++0x03 line.long 0x00 "ECR,Ethernet Control Register" bitfld.long 0x00 17. "RXC_DLY,Receive clock delay" "0: Use non-delayed version of RGMII_RXC,1: Use delayed version of RGMII_RXC" bitfld.long 0x00 16. "TXC_DLY,Transmit clock delay" "0: RGMII_TXC is not delayed,1: Generate delayed version of RGMII_TXC" newline bitfld.long 0x00 11. "SVLANDBL,S-VLAN double tag" "0: Disable S-VLAN double tag,1: Enable S-VLAN double tag" bitfld.long 0x00 10. "VLANUSE2ND,VLAN use second tag" "0: Always extract data from the first VLAN tag..,1: When a double-tagged frame is detected the.." newline bitfld.long 0x00 9. "SVLANEN,S-VLAN enable" "0: Only the EtherType 0x8100 will be considered..,1: The EtherType 0x88a8 will be considered in.." bitfld.long 0x00 8. "DBSWP,Descriptor Byte Swapping Enable" "0: The buffer descriptor bytes are not swapped..,1: The buffer descriptor bytes are swapped to.." newline bitfld.long 0x00 6. "DBGEN,Debug Enable" "0: MAC continues operation in debug mode,1: MAC enters hardware freeze mode when the.." bitfld.long 0x00 5. "SPEED,Selects between 10/100-Mbit/s and 1000-Mbit/s modes of operation" "0: 10/100-Mbit/s mode,1: 1000-Mbit/s mode" newline bitfld.long 0x00 4. "EN1588,EN1588 Enable" "0: Legacy FEC buffer descriptors and functions..,1: Enhanced frame time-stamping functions enabled" bitfld.long 0x00 3. "SLEEP,Sleep Mode Enable" "0: Normal operating mode,1: Sleep mode" newline bitfld.long 0x00 2. "MAGICEN,Magic Packet Detection Enable" "0: Magic detection logic disabled,1: The MAC core detects magic packets and.." bitfld.long 0x00 1. "ETHEREN,Ethernet Enable" "0: Reception immediately stops and transmission..,1: MAC is enabled and reception and transmission.." newline bitfld.long 0x00 0. "RESET,Ethernet MAC Reset" "0,1" group.long 0x40++0x03 line.long 0x00 "MMFR,MII Management Frame Register" bitfld.long 0x00 30.--31. "ST,Start Of Frame Delimiter" "0,1,2,3" bitfld.long 0x00 28.--29. "OP,Operation Code" "0,1,2,3" newline bitfld.long 0x00 23.--27. "PA,PHY Address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18.--22. "RA,Register Address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--17. "TA,Turn Around" "0,1,2,3" hexmask.long.word 0x00 0.--15. 1. "DATA,Management Frame Data" group.long 0x44++0x03 line.long 0x00 "MSCR,MII Speed Control Register" bitfld.long 0x00 8.--10. "HOLDTIME,Hold time On MDIO Output" "0: 1 internal module clock pclk (ipg_clk_s) cycle,1: 2 internal module clock pclk (ipg_clk_s) cycles,2: 3 internal module clock pclk (ipg_clk_s) cycles,?,?,?,?,7: 8 internal module clock pclk (ipg_clk_s) cycles" bitfld.long 0x00 7. "DIS_PRE,Disable Preamble" "0: Preamble enabled,1: Preamble (32 ones) is not prepended to the.." newline bitfld.long 0x00 1.--6. "MII_SPEED,MII Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x64++0x03 line.long 0x00 "MIBC,MIB Control Register" bitfld.long 0x00 31. "MIB_DIS,Disable MIB Logic" "0: MIB logic is enabled,1: MIB logic is disabled" rbitfld.long 0x00 30. "MIB_IDLE,MIB Idle" "0: The MIB block is updating MIB counters,1: The MIB block is not currently updating any.." newline bitfld.long 0x00 29. "MIB_CLEAR,MIB Clear" "0: See note above,1: All statistics counters are reset to 0" group.long 0x84++0x03 line.long 0x00 "RCR,Receive Control Register" rbitfld.long 0x00 31. "GRS,Graceful Receive Stopped" "0: Receive not stopped,1: Receive stopped" bitfld.long 0x00 30. "NLC,Payload Length Check Disable" "0: The payload length check is disabled,1: The core checks the frame's payload length.." newline hexmask.long.word 0x00 16.--29. 1. "MAX_FL,Maximum Frame Length" bitfld.long 0x00 15. "CFEN,MAC Control Frame Enable" "0: MAC control frames with any opcode other than..,1: MAC control frames with any opcode other than.." newline bitfld.long 0x00 14. "CRCFWD,Terminate/Forward Received CRC" "0: The CRC field of received frames is..,1: The CRC field is stripped from the frame" bitfld.long 0x00 13. "PAUFWD,Terminate/Forward Pause Frames" "0: Pause frames are terminated and discarded in..,1: Pause frames are forwarded to the user.." newline bitfld.long 0x00 12. "PADEN,Enable Frame Padding Remove On Receive" "0: No padding is removed on receive by the MAC,1: Padding is removed from received frames" bitfld.long 0x00 11. "RMII_ECHO,RMII Echo" "0: Normal operation,1: RMII echo mode enabled" newline bitfld.long 0x00 10. "RMII_LOOP,RMII Loopback" "0: Normal operation,1: RMII loopback mode enabled" bitfld.long 0x00 9. "RMII_10T,Enables 10-Mbit/s mode of the RMII or RGMII" "0: 100-Mbit/s or 1-Gbit/s operation,1: 10-Mbit/s operation" newline bitfld.long 0x00 8. "RMII_MODE,RMII Mode Enable" "0: MAC configured for MII mode,1: MAC configured for RMII operation" bitfld.long 0x00 7. "SGMII_EN,SGMII Mode Enable" "0: MAC configured for GMII or RGMII operation,1: MAC configured for SGMII operation" newline bitfld.long 0x00 6. "RGMII_EN,RGMII Mode Enable" "0: MAC configured for non-RGMII operation,1: MAC configured for RGMII operation" bitfld.long 0x00 5. "FCE,Flow Control Enable" "0: Disable flow control,1: Enable flow control" newline bitfld.long 0x00 4. "BC_REJ,Broadcast Frame Reject" "0: Will not reject frames as described above,1: Will reject frames as described above" bitfld.long 0x00 3. "PROM,Promiscuous Mode" "0: Disabled,1: Enabled" newline bitfld.long 0x00 2. "MII_MODE,Media Independent Interface Mode" "?,1: MII or RMII mode as indicated by the.." bitfld.long 0x00 1. "DRT,Disable Receive On Transmit" "0: Receive path operates independently of..,1: Disable reception of frames while transmitting" newline bitfld.long 0x00 0. "LOOP,Internal Loopback" "0: Loopback disabled,1: Transmitted frames are looped back internal.." group.long 0xC4++0x03 line.long 0x00 "TCR,Transmit Control Register" bitfld.long 0x00 9. "CRCFWD,Forward Frame From Application With CRC" "0: TxBD[TC] ff_tx_crc_fwd controls whether the..,1: The transmitter does not append any CRC to.." bitfld.long 0x00 8. "ADDINS,Set MAC Address On Transmit" "0: The source MAC address is not modified by the..,1: The MAC overwrites the source MAC address.." newline bitfld.long 0x00 5.--7. "ADDSEL,Source MAC Address Select On Transmit" "0: Node MAC address programmed on PADDR1/2..,?..." rbitfld.long 0x00 4. "RFC_PAUSE,Receive Frame Control Pause" "0,1" newline bitfld.long 0x00 3. "TFC_PAUSE,Transmit Frame Control Pause" "0: No PAUSE frame transmitted,1: The MAC stops transmission of data frames.." bitfld.long 0x00 2. "FDEN,Full-Duplex Enable" "0: Disable full-duplex,1: Enable full-duplex" newline bitfld.long 0x00 0. "GTS,Graceful Transmit Stop" "0: Disable graceful transmit stop,1: Enable graceful transmit stop" group.long 0xE4++0x03 line.long 0x00 "PALR,Physical Address Lower Register" hexmask.long 0x00 0.--31. 1. "PADDR1,Pause Address" group.long 0xE8++0x03 line.long 0x00 "PAUR,Physical Address Upper Register" hexmask.long.word 0x00 16.--31. 1. "PADDR2,Bytes 4 (bits 31:24) and 5 (bits 23:16) of the 6-byte individual address used for exact match and the source address field in PAUSE frames" hexmask.long.word 0x00 0.--15. 1. "TYPE,Type Field In PAUSE Frames" group.long 0xEC++0x03 line.long 0x00 "OPD,Opcode/Pause Duration Register" hexmask.long.word 0x00 16.--31. 1. "OPCODE,Opcode Field In PAUSE Frames" hexmask.long.word 0x00 0.--15. 1. "PAUSE_DUR,Pause Duration" repeat 3. (increment 0 1) (increment 0 0x4) group.long ($2+0xF0)++0x03 line.long 0x00 "TXIC[$1],Transmit Interrupt Coalescing Register $1" bitfld.long 0x00 31. "ICEN,Interrupt Coalescing Enable" "0: Disable Interrupt coalescing,1: Enable Interrupt coalescing" bitfld.long 0x00 30. "ICCS,Interrupt Coalescing Timer Clock Source Select" "0: Use MII/GMII TX clocks,1: Use ENET system clock" newline hexmask.long.byte 0x00 20.--27. 1. "ICFT,Interrupt coalescing frame count threshold" hexmask.long.word 0x00 0.--15. 1. "ICTT,Interrupt coalescing timer threshold" repeat.end repeat 3. (increment 0 1) (increment 0 0x04) group.long ($2+0x100)++0x03 line.long 0x00 "RXIC[$1],Receive Interrupt Coalescing Register $1" bitfld.long 0x00 31. "ICEN,Interrupt Coalescing Enable" "0: Disable Interrupt coalescing,1: Enable Interrupt coalescing" bitfld.long 0x00 30. "ICCS,Interrupt Coalescing Timer Clock Source Select" "0: Use MII/GMII TX clocks,1: Use ENET system clock" newline hexmask.long.byte 0x00 20.--27. 1. "ICFT,Interrupt coalescing frame count threshold" hexmask.long.word 0x00 0.--15. 1. "ICTT,Interrupt coalescing timer threshold" repeat.end group.long 0x118++0x03 line.long 0x00 "IAUR,Descriptor Individual Upper Address Register" hexmask.long 0x00 0.--31. 1. "IADDR1,Contains the upper 32 bits of the 64-bit hash table used in the address recognition process for receive frames with a unicast address" group.long 0x11C++0x03 line.long 0x00 "IALR,Descriptor Individual Lower Address Register" hexmask.long 0x00 0.--31. 1. "IADDR2,Contains the lower 32 bits of the 64-bit hash table used in the address recognition process for receive frames with a unicast address" group.long 0x120++0x03 line.long 0x00 "GAUR,Descriptor Group Upper Address Register" hexmask.long 0x00 0.--31. 1. "GADDR1,Contains the upper 32 bits of the 64-bit hash table used in the address recognition process for receive frames with a multicast address" group.long 0x124++0x03 line.long 0x00 "GALR,Descriptor Group Lower Address Register" hexmask.long 0x00 0.--31. 1. "GADDR2,Contains the lower 32 bits of the 64-bit hash table used in the address recognition process for receive frames with a multicast address" group.long 0x144++0x03 line.long 0x00 "TFWR,Transmit FIFO Watermark Register" bitfld.long 0x00 8. "STRFWD,Store And Forward Enable" "0: Reset,1: Enabled" bitfld.long 0x00 0.--5. "TFWR,Transmit FIFO" "0: 64 bytes written,1: 64 bytes written,2: 128 bytes written,3: 192 bytes written,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,63: 4032 bytes written" group.long 0x160++0x03 line.long 0x00 "RDSR1,Receive Descriptor Ring 1 Start Register" hexmask.long 0x00 3.--31. 1. "R_DES_START,Pointer to the beginning of the receive buffer descriptor queue 1" group.long 0x164++0x03 line.long 0x00 "TDSR1,Transmit Buffer Descriptor Ring 1 Start Register" hexmask.long 0x00 3.--31. 1. "X_DES_START,Pointer to the beginning of transmit buffer descriptor queue 1" group.long 0x168++0x03 line.long 0x00 "MRBR1,Maximum Receive Buffer Size Register - Ring 1" hexmask.long.word 0x00 4.--13. 1. "R_BUF_SIZE,Receive buffer size (in bytes)" group.long 0x16C++0x03 line.long 0x00 "RDSR2,Receive Descriptor Ring 2 Start Register" hexmask.long 0x00 3.--31. 1. "R_DES_START,Pointer to the beginning of receive buffer descriptor queue 2" group.long 0x170++0x03 line.long 0x00 "TDSR2,Transmit Buffer Descriptor Ring 2 Start Register" hexmask.long 0x00 3.--31. 1. "X_DES_START,Pointer to the beginning of transmit buffer descriptor queue 2" group.long 0x174++0x03 line.long 0x00 "MRBR2,Maximum Receive Buffer Size Register - Ring 2" hexmask.long.word 0x00 4.--13. 1. "R_BUF_SIZE,Receive buffer size (in bytes)" group.long 0x180++0x03 line.long 0x00 "RDSR,Receive Descriptor Ring 0 Start Register" hexmask.long 0x00 3.--31. 1. "R_DES_START,Pointer to the beginning of the receive buffer descriptor queue" group.long 0x184++0x03 line.long 0x00 "TDSR,Transmit Buffer Descriptor Ring 0 Start Register" hexmask.long 0x00 3.--31. 1. "X_DES_START,Pointer to the beginning of the transmit buffer descriptor queue" group.long 0x188++0x03 line.long 0x00 "MRBR,Maximum Receive Buffer Size Register - Ring 0" hexmask.long.word 0x00 4.--13. 1. "R_BUF_SIZE,Receive buffer size in bytes" group.long 0x190++0x03 line.long 0x00 "RSFL,Receive FIFO Section Full Threshold" hexmask.long.word 0x00 0.--9. 1. "RX_SECTION_FULL,Value Of Receive FIFO Section Full Threshold" group.long 0x194++0x03 line.long 0x00 "RSEM,Receive FIFO Section Empty Threshold" bitfld.long 0x00 16.--20. "STAT_SECTION_EMPTY,RX Status FIFO Section Empty Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "RX_SECTION_EMPTY,Value Of The Receive FIFO Section Empty Threshold" group.long 0x198++0x03 line.long 0x00 "RAEM,Receive FIFO Almost Empty Threshold" hexmask.long.word 0x00 0.--9. 1. "RX_ALMOST_EMPTY,Value Of The Receive FIFO Almost Empty Threshold" group.long 0x19C++0x03 line.long 0x00 "RAFL,Receive FIFO Almost Full Threshold" hexmask.long.word 0x00 0.--9. 1. "RX_ALMOST_FULL,Value Of The Receive FIFO Almost Full Threshold" group.long 0x1A0++0x03 line.long 0x00 "TSEM,Transmit FIFO Section Empty Threshold" hexmask.long.word 0x00 0.--9. 1. "TX_SECTION_EMPTY,Value Of The Transmit FIFO Section Empty Threshold" group.long 0x1A4++0x03 line.long 0x00 "TAEM,Transmit FIFO Almost Empty Threshold" hexmask.long.word 0x00 0.--9. 1. "TX_ALMOST_EMPTY,Value of Transmit FIFO Almost Empty Threshold" group.long 0x1A8++0x03 line.long 0x00 "TAFL,Transmit FIFO Almost Full Threshold" hexmask.long.word 0x00 0.--9. 1. "TX_ALMOST_FULL,Value Of The Transmit FIFO Almost Full Threshold" group.long 0x1AC++0x03 line.long 0x00 "TIPG,Transmit Inter-Packet Gap" bitfld.long 0x00 0.--4. "IPG,Transmit Inter-Packet Gap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x1B0++0x03 line.long 0x00 "FTRL,Frame Truncation Length" hexmask.long.word 0x00 0.--13. 1. "TRUNC_FL,Frame Truncation Length" group.long 0x1C0++0x03 line.long 0x00 "TACC,Transmit Accelerator Function Configuration" bitfld.long 0x00 4. "PROCHK,Enables insertion of protocol checksum" "0: Checksum not inserted,1: If an IP frame with a known protocol is.." bitfld.long 0x00 3. "IPCHK,Enables insertion of IP header checksum" "0: Checksum is not inserted,1: If an IP frame is transmitted the checksum is.." newline bitfld.long 0x00 0. "SHIFT16,TX FIFO Shift-16" "0: Disabled,1: Indicates to the transmit data FIFO that the.." group.long 0x1C4++0x03 line.long 0x00 "RACC,Receive Accelerator Function Configuration" bitfld.long 0x00 7. "SHIFT16,RX FIFO Shift-16" "0: Disabled,1: Instructs the MAC to write two additional.." bitfld.long 0x00 6. "LINEDIS,Enable Discard Of Frames With MAC Layer Errors" "0: Frames with errors are not discarded,1: Any frame received with a CRC length or PHY.." newline bitfld.long 0x00 2. "PRODIS,Enable Discard Of Frames With Wrong Protocol Checksum" "0: Frames with wrong checksum are not discarded,1: If a TCP/IP UDP/IP or ICMP/IP frame is.." bitfld.long 0x00 1. "IPDIS,Enable Discard Of Frames With Wrong IPv4 Header Checksum" "0: Frames with wrong IPv4 header checksum are..,1: If an IPv4 frame is received with a.." newline bitfld.long 0x00 0. "PADREM,Enable Padding Removal For Short IP Frames" "0: Padding not removed,1: Any bytes following the IP payload section of.." repeat 2. (strings "1" "2" )(list 0x00 0x04 ) group.long ($2+0x1C8)++0x03 line.long 0x00 "RCMR$1,Receive Classification Match Register for Class n" bitfld.long 0x00 16. "MATCHEN,Match Enable" "0: Disabled (default),1: The register contents are valid and a.." bitfld.long 0x00 12.--14. "CMP3,Compare 3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--10. "CMP2,Compare 2" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4.--6. "CMP1,Compare 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. "CMP0,Compare 0" "0,1,2,3,4,5,6,7" repeat.end repeat 2. (strings "1" "2" )(list 0x00 0x04 ) group.long ($2+0x1D8)++0x03 line.long 0x00 "DMACFG$1,DMA Class Based Configuration" bitfld.long 0x00 17. "CALC_NOIPG,Calculate no IPG" "0: The traffic shaper function should consider..,1: Addition of 12 bytes for the IPG should be.." bitfld.long 0x00 16. "DMA_CLASS_EN,DMA class enable" "0: The DMA controller's channel for the class is..,1: Enable the DMA controller to support the.." newline hexmask.long.word 0x00 0.--15. 1. "IDLE_SLOPE,Idle slope" repeat.end group.long 0x1E0++0x03 line.long 0x00 "RDAR1,Receive Descriptor Active Register - Ring 1" bitfld.long 0x00 24. "RDAR,Receive Descriptor Active" "0,1" group.long 0x1E4++0x03 line.long 0x00 "TDAR1,Transmit Descriptor Active Register - Ring 1" bitfld.long 0x00 24. "TDAR,Transmit Descriptor Active" "0,1" group.long 0x1E8++0x03 line.long 0x00 "RDAR2,Receive Descriptor Active Register - Ring 2" bitfld.long 0x00 24. "RDAR,Receive Descriptor Active" "0,1" group.long 0x1EC++0x03 line.long 0x00 "TDAR2,Transmit Descriptor Active Register - Ring 2" bitfld.long 0x00 24. "TDAR,Transmit Descriptor Active" "0,1" group.long 0x1F0++0x03 line.long 0x00 "QOS,QOS Scheme" bitfld.long 0x00 5. "RX_FLUSH2,RX Flush Ring 2" "0: Disable,1: Enable" bitfld.long 0x00 4. "RX_FLUSH1,RX Flush Ring 1" "0: Disable,1: Enable" newline bitfld.long 0x00 3. "RX_FLUSH0,RX Flush Ring 0" "0: Disable,1: Enable" bitfld.long 0x00 0.--2. "TX_SCHEME,TX scheme configuration" "0: Credit-based scheme,1: Round-robin scheme,?..." rgroup.long 0x200++0x03 line.long 0x00 "RMON_T_DROP,Reserved Statistic Register" rgroup.long 0x204++0x03 line.long 0x00 "RMON_T_PACKETS,Tx Packet Count Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Packet count" rgroup.long 0x208++0x03 line.long 0x00 "RMON_T_BC_PKT,Tx Broadcast Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of broadcast packets" rgroup.long 0x20C++0x03 line.long 0x00 "RMON_T_MC_PKT,Tx Multicast Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of multicast packets" rgroup.long 0x210++0x03 line.long 0x00 "RMON_T_CRC_ALIGN,Tx Packets with CRC/Align Error Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of packets with CRC/align error" rgroup.long 0x214++0x03 line.long 0x00 "RMON_T_UNDERSIZE,Tx Packets Less Than Bytes and Good CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of transmit packets less than 64 bytes with good CRC" rgroup.long 0x218++0x03 line.long 0x00 "RMON_T_OVERSIZE,Tx Packets GT MAX_FL bytes and Good CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of transmit packets greater than MAX_FL bytes with good CRC" rgroup.long 0x21C++0x03 line.long 0x00 "RMON_T_FRAG,Tx Packets Less Than 64 Bytes and Bad CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of packets less than 64 bytes with bad CRC" rgroup.long 0x220++0x03 line.long 0x00 "RMON_T_JAB,Tx Packets Greater Than MAX_FL bytes and Bad CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of transmit packets greater than MAX_FL bytes and bad CRC" rgroup.long 0x224++0x03 line.long 0x00 "RMON_T_COL,Tx Collision Count Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of transmit collisions" rgroup.long 0x228++0x03 line.long 0x00 "RMON_T_P64,Tx 64-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 64-byte transmit packets" rgroup.long 0x22C++0x03 line.long 0x00 "RMON_T_P65TO127,Tx 65- to 127-byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 65- to 127-byte transmit packets" rgroup.long 0x230++0x03 line.long 0x00 "RMON_T_P128TO255,Tx 128- to 255-byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 128- to 255-byte transmit packets" rgroup.long 0x234++0x03 line.long 0x00 "RMON_T_P256TO511,Tx 256- to 511-byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 256- to 511-byte transmit packets" rgroup.long 0x238++0x03 line.long 0x00 "RMON_T_P512TO1023,Tx 512- to 1023-byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 512- to 1023-byte transmit packets" rgroup.long 0x23C++0x03 line.long 0x00 "RMON_T_P1024TO2047,Tx 1024- to 2047-byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of 1024- to 2047-byte transmit packets" rgroup.long 0x240++0x03 line.long 0x00 "RMON_T_P_GTE2048,Tx Packets Greater Than 2048 Bytes Statistic Register" hexmask.long.word 0x00 0.--15. 1. "TXPKTS,Number of transmit packets greater than 2048 bytes" rgroup.long 0x244++0x03 line.long 0x00 "RMON_T_OCTETS,Tx Octets Statistic Register" hexmask.long 0x00 0.--31. 1. "TXOCTS,Number of transmit octets" rgroup.long 0x248++0x03 line.long 0x00 "IEEE_T_DROP,Reserved Statistic Register" rgroup.long 0x24C++0x03 line.long 0x00 "IEEE_T_FRAME_OK,Frames Transmitted OK Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted OK" rgroup.long 0x250++0x03 line.long 0x00 "IEEE_T_1COL,Frames Transmitted with Single Collision Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with one collision" rgroup.long 0x254++0x03 line.long 0x00 "IEEE_T_MCOL,Frames Transmitted with Multiple Collisions Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with multiple collisions" rgroup.long 0x258++0x03 line.long 0x00 "IEEE_T_DEF,Frames Transmitted after Deferral Delay Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with deferral delay" rgroup.long 0x25C++0x03 line.long 0x00 "IEEE_T_LCOL,Frames Transmitted with Late Collision Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with late collision" rgroup.long 0x260++0x03 line.long 0x00 "IEEE_T_EXCOL,Frames Transmitted with Excessive Collisions Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with excessive collisions" rgroup.long 0x264++0x03 line.long 0x00 "IEEE_T_MACERR,Frames Transmitted with Tx FIFO Underrun Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with transmit FIFO underrun" rgroup.long 0x268++0x03 line.long 0x00 "IEEE_T_CSERR,Frames Transmitted with Carrier Sense Error Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames transmitted with carrier sense error" rgroup.long 0x26C++0x03 line.long 0x00 "IEEE_T_SQE,Reserved Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,This read-only field is reserved and always has the value 0" rgroup.long 0x270++0x03 line.long 0x00 "IEEE_T_FDXFC,Flow Control Pause Frames Transmitted Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of flow-control pause frames transmitted" rgroup.long 0x274++0x03 line.long 0x00 "IEEE_T_OCTETS_OK,Octet Count for Frames Transmitted w/o Error Statistic Register" hexmask.long 0x00 0.--31. 1. "COUNT,Octet count for frames transmitted without error Counts total octets (includes header and FCS fields)" rgroup.long 0x284++0x03 line.long 0x00 "RMON_R_PACKETS,Rx Packet Count Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of packets received" rgroup.long 0x288++0x03 line.long 0x00 "RMON_R_BC_PKT,Rx Broadcast Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive broadcast packets" rgroup.long 0x28C++0x03 line.long 0x00 "RMON_R_MC_PKT,Rx Multicast Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive multicast packets" rgroup.long 0x290++0x03 line.long 0x00 "RMON_R_CRC_ALIGN,Rx Packets with CRC/Align Error Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive packets with CRC or align error" rgroup.long 0x294++0x03 line.long 0x00 "RMON_R_UNDERSIZE,Rx Packets with Less Than 64 Bytes and Good CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive packets with less than 64 bytes and good CRC" rgroup.long 0x298++0x03 line.long 0x00 "RMON_R_OVERSIZE,Rx Packets Greater Than MAX_FL and Good CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive packets greater than MAX_FL and good CRC" rgroup.long 0x29C++0x03 line.long 0x00 "RMON_R_FRAG,Rx Packets Less Than 64 Bytes and Bad CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive packets with less than 64 bytes and bad CRC" rgroup.long 0x2A0++0x03 line.long 0x00 "RMON_R_JAB,Rx Packets Greater Than MAX_FL Bytes and Bad CRC Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of receive packets greater than MAX_FL and bad CRC" rgroup.long 0x2A4++0x03 line.long 0x00 "RMON_R_RESVD_0,Reserved Statistic Register" rgroup.long 0x2A8++0x03 line.long 0x00 "RMON_R_P64,Rx 64-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 64-byte receive packets" rgroup.long 0x2AC++0x03 line.long 0x00 "RMON_R_P65TO127,Rx 65- to 127-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 65- to 127-byte recieve packets" rgroup.long 0x2B0++0x03 line.long 0x00 "RMON_R_P128TO255,Rx 128- to 255-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 128- to 255-byte recieve packets" rgroup.long 0x2B4++0x03 line.long 0x00 "RMON_R_P256TO511,Rx 256- to 511-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 256- to 511-byte recieve packets" rgroup.long 0x2B8++0x03 line.long 0x00 "RMON_R_P512TO1023,Rx 512- to 1023-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 512- to 1023-byte recieve packets" rgroup.long 0x2BC++0x03 line.long 0x00 "RMON_R_P1024TO2047,Rx 1024- to 2047-Byte Packets Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of 1024- to 2047-byte recieve packets" rgroup.long 0x2C0++0x03 line.long 0x00 "RMON_R_P_GTE2048,Rx Packets Greater than 2048 Bytes Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of greater-than-2048-byte recieve packets" rgroup.long 0x2C4++0x03 line.long 0x00 "RMON_R_OCTETS,Rx Octets Statistic Register" hexmask.long 0x00 0.--31. 1. "COUNT,Number of receive octets" rgroup.long 0x2C8++0x03 line.long 0x00 "IEEE_R_DROP,Frames not Counted Correctly Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Frame count" rgroup.long 0x2CC++0x03 line.long 0x00 "IEEE_R_FRAME_OK,Frames Received OK Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames received OK" rgroup.long 0x2D0++0x03 line.long 0x00 "IEEE_R_CRC,Frames Received with CRC Error Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames received with CRC error" rgroup.long 0x2D4++0x03 line.long 0x00 "IEEE_R_ALIGN,Frames Received with Alignment Error Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of frames received with alignment error" rgroup.long 0x2D8++0x03 line.long 0x00 "IEEE_R_MACERR,Receive FIFO Overflow Count Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Receive FIFO overflow count" rgroup.long 0x2DC++0x03 line.long 0x00 "IEEE_R_FDXFC,Flow Control Pause Frames Received Statistic Register" hexmask.long.word 0x00 0.--15. 1. "COUNT,Number of flow-control pause frames received" rgroup.long 0x2E0++0x03 line.long 0x00 "IEEE_R_OCTETS_OK,Octet Count for Frames Received without Error Statistic Register" hexmask.long 0x00 0.--31. 1. "COUNT,Number of octets for frames received without error" group.long 0x400++0x03 line.long 0x00 "ATCR,Adjustable Timer Control Register" bitfld.long 0x00 13. "SLAVE,Enable Timer Slave Mode" "0: The timer is active and all configuration..,1: The internal timer is disabled and the.." bitfld.long 0x00 11. "CAPTURE,Capture Timer Value" "0: No effect,1: The current time is captured and can be read.." newline bitfld.long 0x00 9. "RESTART,Reset Timer" "0,1" bitfld.long 0x00 7. "PINPER,Enables event signal output external pin frc_evt_period assertion on period event" "0: Disable,1: Enable" newline bitfld.long 0x00 4. "PEREN,Enable Periodical Event" "0: Disable,1: A period event interrupt can be generated.." bitfld.long 0x00 3. "OFFRST,Reset Timer On Offset Event" "0: The timer is not affected and no action..,1: If OFFEN is set the timer resets to zero when.." newline bitfld.long 0x00 2. "OFFEN,Enable One-Shot Offset Event" "0: Disable,1: The timer can be reset to zero when the given.." bitfld.long 0x00 0. "EN,Enable Timer" "0: The timer stops at the current value,1: The timer starts incrementing" group.long 0x404++0x03 line.long 0x00 "ATVR,Timer Value Register" hexmask.long 0x00 0.--31. 1. "ATIME,A write sets the timer" group.long 0x408++0x03 line.long 0x00 "ATOFF,Timer Offset Register" hexmask.long 0x00 0.--31. 1. "OFFSET,Offset value for one-shot event generation" group.long 0x40C++0x03 line.long 0x00 "ATPER,Timer Period Register" hexmask.long 0x00 0.--31. 1. "PERIOD,Value for generating periodic events" group.long 0x410++0x03 line.long 0x00 "ATCOR,Timer Correction Register" hexmask.long 0x00 0.--30. 1. "COR,Correction Counter Wrap-Around Value" group.long 0x414++0x03 line.long 0x00 "ATINC,Time-Stamping Clock Period Register" hexmask.long.byte 0x00 8.--14. 1. "INC_CORR,Correction Increment Value" hexmask.long.byte 0x00 0.--6. 1. "INC,Clock Period Of The Timestamping Clock (ts_clk) In Nanoseconds" rgroup.long 0x418++0x03 line.long 0x00 "ATSTMP,Timestamp of Last Transmitted Frame" hexmask.long 0x00 0.--31. 1. "TIMESTAMP,Timestamp of the last frame transmitted by the core that had TxBD[TS] set the ff_tx_ts_frm signal asserted from the user application" group.long 0x604++0x03 line.long 0x00 "TGSR,Timer Global Status Register" eventfld.long 0x00 3. "TF3,Copy Of Timer Flag For Channel 3" "0: Timer Flag for Channel 3 is clear,1: Timer Flag for Channel 3 is set" eventfld.long 0x00 2. "TF2,Copy Of Timer Flag For Channel 2" "0: Timer Flag for Channel 2 is clear,1: Timer Flag for Channel 2 is set" newline eventfld.long 0x00 1. "TF1,Copy Of Timer Flag For Channel 1" "0: Timer Flag for Channel 1 is clear,1: Timer Flag for Channel 1 is set" eventfld.long 0x00 0. "TF0,Copy Of Timer Flag For Channel 0" "0: Timer Flag for Channel 0 is clear,1: Timer Flag for Channel 0 is set" group.long 0x608++0x03 line.long 0x00 "TCSR0,Timer Control Status Register" eventfld.long 0x00 7. "TF,Timer Flag" "0: Input Capture or Output Compare has not..,1: Input Capture or Output Compare has occurred" bitfld.long 0x00 6. "TIE,Timer Interrupt Enable" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 2.--5. "TMODE,Timer Mode" "0: Timer Channel is disabled,1: Timer Channel is configured for Input Capture..,2: Timer Channel is configured for Input Capture..,3: Timer Channel is configured for Input Capture..,4: Timer Channel is configured for Output..,5: Timer Channel is configured for Output..,6: Timer Channel is configured for Output..,7: Timer Channel is configured for Output..,?,9: Timer Channel is configured for Output..,10: Timer Channel is configured for Output..,11: Timer Channel is configured for Output..,?,?,14: Timer Channel is configured for Output..,15: Timer Channel is configured for Output.." bitfld.long 0x00 0. "TDRE,Timer DMA Request Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x60C++0x03 line.long 0x00 "TCCR0,Timer Compare Capture Register" hexmask.long 0x00 0.--31. 1. "TCC,Timer Capture Compare" group.long 0x610++0x03 line.long 0x00 "TCSR1,Timer Control Status Register" eventfld.long 0x00 7. "TF,Timer Flag" "0: Input Capture or Output Compare has not..,1: Input Capture or Output Compare has occurred" bitfld.long 0x00 6. "TIE,Timer Interrupt Enable" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 2.--5. "TMODE,Timer Mode" "0: Timer Channel is disabled,1: Timer Channel is configured for Input Capture..,2: Timer Channel is configured for Input Capture..,3: Timer Channel is configured for Input Capture..,4: Timer Channel is configured for Output..,5: Timer Channel is configured for Output..,6: Timer Channel is configured for Output..,7: Timer Channel is configured for Output..,?,9: Timer Channel is configured for Output..,10: Timer Channel is configured for Output..,11: Timer Channel is configured for Output..,?,?,14: Timer Channel is configured for Output..,15: Timer Channel is configured for Output.." bitfld.long 0x00 0. "TDRE,Timer DMA Request Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x614++0x03 line.long 0x00 "TCCR1,Timer Compare Capture Register" hexmask.long 0x00 0.--31. 1. "TCC,Timer Capture Compare" group.long 0x618++0x03 line.long 0x00 "TCSR2,Timer Control Status Register" eventfld.long 0x00 7. "TF,Timer Flag" "0: Input Capture or Output Compare has not..,1: Input Capture or Output Compare has occurred" bitfld.long 0x00 6. "TIE,Timer Interrupt Enable" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 2.--5. "TMODE,Timer Mode" "0: Timer Channel is disabled,1: Timer Channel is configured for Input Capture..,2: Timer Channel is configured for Input Capture..,3: Timer Channel is configured for Input Capture..,4: Timer Channel is configured for Output..,5: Timer Channel is configured for Output..,6: Timer Channel is configured for Output..,7: Timer Channel is configured for Output..,?,9: Timer Channel is configured for Output..,10: Timer Channel is configured for Output..,11: Timer Channel is configured for Output..,?,?,14: Timer Channel is configured for Output..,15: Timer Channel is configured for Output.." bitfld.long 0x00 0. "TDRE,Timer DMA Request Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x61C++0x03 line.long 0x00 "TCCR2,Timer Compare Capture Register" hexmask.long 0x00 0.--31. 1. "TCC,Timer Capture Compare" group.long 0x620++0x03 line.long 0x00 "TCSR3,Timer Control Status Register" eventfld.long 0x00 7. "TF,Timer Flag" "0: Input Capture or Output Compare has not..,1: Input Capture or Output Compare has occurred" bitfld.long 0x00 6. "TIE,Timer Interrupt Enable" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 2.--5. "TMODE,Timer Mode" "0: Timer Channel is disabled,1: Timer Channel is configured for Input Capture..,2: Timer Channel is configured for Input Capture..,3: Timer Channel is configured for Input Capture..,4: Timer Channel is configured for Output..,5: Timer Channel is configured for Output..,6: Timer Channel is configured for Output..,7: Timer Channel is configured for Output..,?,9: Timer Channel is configured for Output..,10: Timer Channel is configured for Output..,11: Timer Channel is configured for Output..,?,?,14: Timer Channel is configured for Output..,15: Timer Channel is configured for Output.." bitfld.long 0x00 0. "TDRE,Timer DMA Request Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x624++0x03 line.long 0x00 "TCCR3,Timer Compare Capture Register" hexmask.long 0x00 0.--31. 1. "TCC,Timer Capture Compare" tree.end tree "ENET_QOS_TSN" base ad:0x428A0000 group.long 0x00++0x03 line.long 0x00 "MAC_CONFIGURATION,MAC Configuration Register" bitfld.long 0x00 28.--30. "SARC,Source Address Insertion or Replacement Control" "0: mti_sa_ctrl_i and ati_sa_ctrl_i input signals..,?,2: Contents of MAC Addr-0 inserted in SA field,3: Contents of MAC Addr-0 replaces SA field,?,?,6: Contents of MAC Addr-1 inserted in SA field,7: Contents of MAC Addr-1 replaces SA field" newline bitfld.long 0x00 27. "IPC,Checksum Offload" "0: IP header/payload checksum checking is disabled,1: IP header/payload checksum checking is enabled" newline bitfld.long 0x00 24.--26. "IPG,Inter-Packet Gap These bits control the minimum IPG between packets during transmission" "0: 96 bit times IPG,1: 88 bit times IPG,2: 80 bit times IPG,3: 72 bit times IPG,4: 64 bit times IPG,5: 56 bit times IPG,6: 48 bit times IPG,7: 40 bit times IPG" newline bitfld.long 0x00 23. "GPSLCE,Giant Packet Size Limit Control Enable" "0: Giant Packet Size Limit Control is disabled,1: Giant Packet Size Limit Control is enabled" newline bitfld.long 0x00 22. "S2KP,IEEE 802" "0: Support upto 2K packet is disabled,1: Support upto 2K packet is Enabled" newline bitfld.long 0x00 21. "CST,CRC stripping for Type packets When this bit is set the last four bytes (FCS) of all packets of Ether type (type field greater than 1 536) are stripped and dropped before forwarding the packet to the application" "0: CRC stripping for Type packets is disabled,1: CRC stripping for Type packets is enabled" newline bitfld.long 0x00 20. "ACS,Automatic Pad or CRC Stripping When this bit is set the MAC strips the Pad or FCS field on the incoming packets only if the value of the length field is less than 1 536 bytes" "0: Automatic Pad or CRC Stripping is disabled,1: Automatic Pad or CRC Stripping is enabled" newline bitfld.long 0x00 19. "WD,Watchdog Disable" "0: Watchdog is enabled,1: Watchdog is disabled" newline bitfld.long 0x00 18. "BE,Packet Burst Enable When this bit is set the MAC allows packet bursting during transmission in the GMII half-duplex mode" "0: Packet Burst is disabled,1: Packet Burst is enabled" newline bitfld.long 0x00 17. "JD,Jabber Disable" "0: Jabber is enabled,1: Jabber is disabled" newline bitfld.long 0x00 16. "JE,Jumbo Packet Enable When this bit is set the MAC allows jumbo packets of 9 018 bytes (9 022 bytes for VLAN tagged packets) without reporting a giant packet error in the Rx packet status" "0: Jumbo packet is disabled,1: Jumbo packet is enabled" newline bitfld.long 0x00 15. "PS,Port Select" "0: For 1000 or 2500 Mbps operations,1: For 10 or 100 Mbps operations" newline bitfld.long 0x00 14. "FES,Speed" "0: 10 Mbps when PS bit is 1 and 1 Gbps when PS..,1: 100 Mbps when PS bit is 1 and 2.5 Gbps when.." newline bitfld.long 0x00 13. "DM,Duplex Mode" "0: Half-duplex mode,1: Full-duplex mode" newline bitfld.long 0x00 12. "LM,Loopback Mode" "0: Loopback is disabled,1: Loopback is enabled" newline bitfld.long 0x00 11. "ECRSFD,Enable Carrier Sense Before Transmission in Full-Duplex Mode" "0: ECRSFD is disabled,1: ECRSFD is enabled" newline bitfld.long 0x00 10. "DO,Disable Receive Own" "0: Enable Receive Own,1: Disable Receive Own" newline bitfld.long 0x00 9. "DCRS,Disable Carrier Sense During Transmission" "0: Enable Carrier Sense During Transmission,1: Disable Carrier Sense During Transmission" newline bitfld.long 0x00 8. "DR,Disable Retry" "0: Enable Retry,1: Disable Retry" newline bitfld.long 0x00 5.--6. "BL,Back-Off Limit" "0: k = min(n 10),1: k = min(n 8),2: k = min(n 4),3: k = min(n 1)" newline bitfld.long 0x00 4. "DC,Deferral Check" "0: Deferral check function is disabled,1: Deferral check function is enabled" newline bitfld.long 0x00 2.--3. "PRELEN,Preamble Length for Transmit packets" "0: 7 bytes of preamble,1: 5 bytes of preamble,2: 3 bytes of preamble,?..." newline bitfld.long 0x00 1. "TE,Transmitter Enable" "0: Transmitter is disabled,1: Transmitter is enabled" newline bitfld.long 0x00 0. "RE,Receiver Enable" "0: Receiver is disabled,1: Receiver is enabled" group.long 0x04++0x03 line.long 0x00 "MAC_EXT_CONFIGURATION,MAC Extended Configuration Register" bitfld.long 0x00 25.--29. "EIPG,Extended Inter-Packet Gap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "EIPGEN,Extended Inter-Packet Gap Enable" "0: Extended Inter-Packet Gap is disabled,1: Extended Inter-Packet Gap is enabled" newline bitfld.long 0x00 19. "PDC,Packet Duplication Control" "0: Packet Duplication Control is disabled,1: Packet Duplication Control is enabled" newline bitfld.long 0x00 18. "USP,Unicast Slow Protocol Packet Detect" "0: Unicast Slow Protocol Packet Detection is..,1: Unicast Slow Protocol Packet Detection is.." newline bitfld.long 0x00 17. "SPEN,Slow Protocol Detection Enable" "0: Slow Protocol Detection is disabled,1: Slow Protocol Detection is enabled" newline bitfld.long 0x00 16. "DCRCC,Disable CRC Checking for Received Packets" "0: CRC Checking is enabled,1: CRC Checking is disabled" newline hexmask.long.word 0x00 0.--13. 1. "GPSL,Giant Packet Size Limit" group.long 0x08++0x03 line.long 0x00 "MAC_PACKET_FILTER,MAC Packet Filter" bitfld.long 0x00 31. "RA,Receive All" "0: Receive All is disabled,1: Receive All is enabled" newline bitfld.long 0x00 21. "DNTU,Drop Non-TCP/UDP over IP Packets" "0: Forward Non-TCP/UDP over IP Packets,1: Drop Non-TCP/UDP over IP Packets" newline bitfld.long 0x00 20. "IPFE,Layer 3 and Layer 4 Filter Enable" "0: Layer 3 and Layer 4 Filters are disabled,1: Layer 3 and Layer 4 Filters are enabled" newline bitfld.long 0x00 16. "VTFE,VLAN Tag Filter Enable" "0: VLAN Tag Filter is disabled,1: VLAN Tag Filter is enabled" newline bitfld.long 0x00 10. "HPF,Hash or Perfect Filter" "0: Hash or Perfect Filter is disabled,1: Hash or Perfect Filter is enabled" newline bitfld.long 0x00 9. "SAF,Source Address Filter Enable" "0: SA Filtering is disabled,1: SA Filtering is enabled" newline bitfld.long 0x00 8. "SAIF,SA Inverse Filtering" "0: SA Inverse Filtering is disabled,1: SA Inverse Filtering is enabled" newline bitfld.long 0x00 6.--7. "PCF,Pass Control Packets These bits control the forwarding of all control packets (including unicast and multicast Pause packets)" "0: MAC filters all control packets from reaching..,1: MAC forwards all control packets except Pause..,2: MAC forwards all control packets to the..,3: MAC forwards the control packets that pass.." newline bitfld.long 0x00 5. "DBF,Disable Broadcast Packets" "0: Enable Broadcast Packets,1: Disable Broadcast Packets" newline bitfld.long 0x00 4. "PM,Pass All Multicast" "0: Pass All Multicast is disabled,1: Pass All Multicast is enabled" newline bitfld.long 0x00 3. "DAIF,DA Inverse Filtering" "0: DA Inverse Filtering is disabled,1: DA Inverse Filtering is enabled" newline bitfld.long 0x00 2. "HMC,Hash Multicast" "0: Hash Multicast is disabled,1: Hash Multicast is enabled" newline bitfld.long 0x00 1. "HUC,Hash Unicast" "0: Hash Unicast is disabled,1: Hash Unicast is enabled" newline bitfld.long 0x00 0. "PR,Promiscuous Mode" "0: Promiscuous Mode is disabled,1: Promiscuous Mode is enabled" group.long 0x0C++0x03 line.long 0x00 "MAC_WATCHDOG_TIMEOUT,Watchdog Timeout" bitfld.long 0x00 8. "PWE,Programmable Watchdog Enable" "0: Programmable Watchdog is disabled,1: Programmable Watchdog is enabled" newline bitfld.long 0x00 0.--3. "WTO,Watchdog Timeout" "0: bf_2KBYTES,1: bf_3KBYTES,2: bf_4KBYTES,3: bf_5KBYTES,4: bf_6KBYTES,5: bf_7KBYTES,6: bf_8KBYTES,7: bf_9KBYTES,8: bf_10KBYTES,9: bf_11KBYTES,10: bf_12KBYTES,11: bf_13KBYTES,12: bf_14KBYTES,13: bf_15KBYTES,14: bf_16383BYTES,?..." group.long 0x10++0x03 line.long 0x00 "MAC_HASH_TABLE_REG0,MAC Hash Table Register 0" hexmask.long 0x00 0.--31. 1. "HT31T0,MAC Hash Table First 32 Bits This field contains the first 32 Bits [31:0] of the Hash table" group.long 0x14++0x03 line.long 0x00 "MAC_HASH_TABLE_REG1,MAC Hash Table Register 1" hexmask.long 0x00 0.--31. 1. "HT63T32,MAC Hash Table Second 32 Bits This field contains the second 32 Bits [63:32] of the Hash table" group.long 0x50++0x03 line.long 0x00 "MAC_VLAN_TAG_CTRL,MAC VLAN Tag Control" bitfld.long 0x00 31. "EIVLRXS,Enable Inner VLAN Tag in Rx Status" "0: Inner VLAN Tag in Rx status is disabled,1: Inner VLAN Tag in Rx status is enabled" newline bitfld.long 0x00 28.--29. "EIVLS,Enable Inner VLAN Tag Stripping on Receive This field indicates the stripping operation on inner VLAN Tag in received packet" "0: Do not strip,1: Strip if VLAN filter passes,2: Strip if VLAN filter fails,3: Always strip" newline bitfld.long 0x00 27. "ERIVLT,ERIVLT" "0: Inner VLAN tag is disabled,1: Inner VLAN tag is enabled" newline bitfld.long 0x00 26. "EDVLP,Enable Double VLAN Processing" "0: Double VLAN Processing is disabled,1: Double VLAN Processing is enabled" newline bitfld.long 0x00 25. "VTHM,VLAN Tag Hash Table Match Enable" "0: VLAN Tag Hash Table Match is disabled,1: VLAN Tag Hash Table Match is enabled" newline bitfld.long 0x00 24. "EVLRXS,Enable VLAN Tag in Rx status" "0: VLAN Tag in Rx status is disabled,1: VLAN Tag in Rx status is enabled" newline bitfld.long 0x00 21.--22. "EVLS,Enable VLAN Tag Stripping on Receive This field indicates the stripping operation on the outer VLAN Tag in received packet" "0: Do not strip,1: Strip if VLAN filter passes,2: Strip if VLAN filter fails,3: Always strip" newline bitfld.long 0x00 18. "ESVL,Enable S-VLAN When this bit is set the MAC transmitter and receiver consider the S-VLAN packets (Type = 0x88A8) as valid VLAN tagged packets" "0: S-VLAN is disabled,1: S-VLAN is enabled" newline bitfld.long 0x00 17. "VTIM,VLAN Tag Inverse Match Enable" "0: VLAN Tag Inverse Match is disabled,1: VLAN Tag Inverse Match is enabled" newline bitfld.long 0x00 2.--6. "OFS,Offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 1. "CT,Command Type" "0: Write operation,1: Read operation" newline bitfld.long 0x00 0. "OB,Operation Busy" "0: Operation Busy is disabled,1: Operation Busy is enabled" group.long 0x54++0x03 line.long 0x00 "MAC_VLAN_TAG_DATA,MAC VLAN Tag Data" bitfld.long 0x00 25.--27. "DMACHN,DMA Channel Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24. "DMACHEN,DMA Channel Number Enable" "0: DMA Channel Number is disabled,1: DMA Channel Number is enabled" newline bitfld.long 0x00 20. "ERIVLT,Enable Inner VLAN Tag Comparison" "0: Inner VLAN tag comparison is disabled,1: Inner VLAN tag comparison is enabled" newline bitfld.long 0x00 19. "ERSVLM,Enable S-VLAN Match for received Frames" "0: Receive S-VLAN Match is disabled,1: Receive S-VLAN Match is enabled" newline bitfld.long 0x00 18. "DOVLTC,Disable VLAN Type Comparison" "0: VLAN type comparison is enabled,1: VLAN type comparison is disabled" newline bitfld.long 0x00 17. "ETV,12bits or 16bits VLAN comparison" "0: 16 bit VLAN comparison,1: 12 bit VLAN comparison" newline bitfld.long 0x00 16. "VEN,VLAN Tag Enable" "0: VLAN Tag is disabled,1: VLAN Tag is enabled" newline hexmask.long.word 0x00 0.--15. 1. "VID,VLAN Tag ID" group.long 0x58++0x03 line.long 0x00 "MAC_VLAN_HASH_TABLE,MAC VLAN Hash Table" hexmask.long.word 0x00 0.--15. 1. "VLHT,VLAN Hash Table This field contains the 16-bit VLAN Hash Table" group.long 0x60++0x03 line.long 0x00 "MAC_VLAN_INCL,VLAN Tag Inclusion or Replacement" rbitfld.long 0x00 31. "BUSY,Busy" "0: Busy status not detected,1: Busy status detected" newline bitfld.long 0x00 30. "RDWR,Read write control" "0: Read operation of indirect access,1: Write operation of indirect access" newline bitfld.long 0x00 24.--26. "ADDR,Address" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "CBTI,Channel based tag insertion" "0: Channel based tag insertion is disabled,1: Channel based tag insertion is enabled" newline bitfld.long 0x00 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor" "0: VLAN Tag Input is disabled,1: VLAN Tag Input is enabled" newline bitfld.long 0x00 19. "CSVL,C-VLAN or S-VLAN" "0: C-VLAN type (0x8100) is inserted or replaced,1: S-VLAN type (0x88A8) is inserted or replaced" newline bitfld.long 0x00 18. "VLP,VLAN Priority Control" "0: VLAN Priority Control is disabled,1: VLAN Priority Control is enabled" newline bitfld.long 0x00 16.--17. "VLC,VLAN Tag Control in Transmit Packets" "0: No VLAN tag deletion insertion or replacement,1: VLAN tag deletion,2: VLAN tag insertion,3: VLAN tag replacement" newline hexmask.long.word 0x00 0.--15. 1. "VLT,VLAN Tag for Transmit Packets" group.long 0x64++0x03 line.long 0x00 "MAC_INNER_VLAN_INCL,MAC Inner VLAN Tag Inclusion or Replacement" bitfld.long 0x00 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor" "0: VLAN Tag Input is disabled,1: VLAN Tag Input is enabled" newline bitfld.long 0x00 19. "CSVL,C-VLAN or S-VLAN" "0: C-VLAN type (0x8100) is inserted,1: S-VLAN type (0x88A8) is inserted" newline bitfld.long 0x00 18. "VLP,VLAN Priority Control" "0: VLAN Priority Control is disabled,1: VLAN Priority Control is enabled" newline bitfld.long 0x00 16.--17. "VLC,VLAN Tag Control in Transmit Packets" "0: No VLAN tag deletion insertion or replacement,1: VLAN tag deletion,2: VLAN tag insertion,3: VLAN tag replacement" newline hexmask.long.word 0x00 0.--15. 1. "VLT,VLAN Tag for Transmit Packets" group.long 0x70++0x03 line.long 0x00 "MAC_Q0_TX_FLOW_CTRL,MAC Q0 Tx Flow Control" hexmask.long.word 0x00 16.--31. 1. "PT,Pause Time" newline bitfld.long 0x00 7. "DZPQ,Disable Zero-Quanta Pause" "0: Zero-Quanta Pause packet generation is enabled,1: Zero-Quanta Pause packet generation is disabled" newline bitfld.long 0x00 4.--6. "PLT,Pause Low Threshold" "0: Pause Time minus 4 Slot Times (PT -4 slot..,1: Pause Time minus 28 Slot Times (PT -28 slot..,2: Pause Time minus 36 Slot Times (PT -36 slot..,3: Pause Time minus 144 Slot Times (PT -144 slot..,4: Pause Time minus 256 Slot Times (PT -256 slot..,5: Pause Time minus 512 Slot Times (PT -512 slot..,?..." newline bitfld.long 0x00 1. "TFE,Transmit Flow Control Enable" "0: Transmit Flow Control is disabled,1: Transmit Flow Control is enabled" newline bitfld.long 0x00 0. "FCB_BPA,Flow Control Busy or Backpressure Activate" "0: Flow Control Busy or Backpressure Activate is..,1: Flow Control Busy or Backpressure Activate is.." group.long 0x74++0x03 line.long 0x00 "MAC_Q1_TX_FLOW_CTRL,MAC Q1 Tx Flow Control" hexmask.long.word 0x00 16.--31. 1. "PT,Pause Time" newline bitfld.long 0x00 7. "DZPQ,Disable Zero-Quanta Pause" "0: Zero-Quanta Pause packet generation is enabled,1: Zero-Quanta Pause packet generation is disabled" newline bitfld.long 0x00 4.--6. "PLT,Pause Low Threshold" "0: Pause Time minus 4 Slot Times (PT -4 slot..,1: Pause Time minus 28 Slot Times (PT -28 slot..,2: Pause Time minus 36 Slot Times (PT -36 slot..,3: Pause Time minus 144 Slot Times (PT -144 slot..,4: Pause Time minus 256 Slot Times (PT -256 slot..,5: Pause Time minus 512 Slot Times (PT -512 slot..,?..." newline bitfld.long 0x00 1. "TFE,Transmit Flow Control Enable" "0: Transmit Flow Control is disabled,1: Transmit Flow Control is enabled" newline bitfld.long 0x00 0. "FCB_BPA,Flow Control Busy" "0: Flow Control Busy or Backpressure Activate is..,1: Flow Control Busy or Backpressure Activate is.." group.long 0x78++0x03 line.long 0x00 "MAC_Q2_TX_FLOW_CTRL,MAC Q2 Tx Flow Control" hexmask.long.word 0x00 16.--31. 1. "PT,Pause Time" newline bitfld.long 0x00 7. "DZPQ,Disable Zero-Quanta Pause" "0: Zero-Quanta Pause packet generation is enabled,1: Zero-Quanta Pause packet generation is disabled" newline bitfld.long 0x00 4.--6. "PLT,Pause Low Threshold" "0: Pause Time minus 4 Slot Times (PT -4 slot..,1: Pause Time minus 28 Slot Times (PT -28 slot..,2: Pause Time minus 36 Slot Times (PT -36 slot..,3: Pause Time minus 144 Slot Times (PT -144 slot..,4: Pause Time minus 256 Slot Times (PT -256 slot..,5: Pause Time minus 512 Slot Times (PT -512 slot..,?..." newline bitfld.long 0x00 1. "TFE,Transmit Flow Control Enable" "0: Transmit Flow Control is disabled,1: Transmit Flow Control is enabled" newline bitfld.long 0x00 0. "FCB_BPA,Flow Control Busy" "0: Flow Control Busy or Backpressure Activate is..,1: Flow Control Busy or Backpressure Activate is.." group.long 0x7C++0x03 line.long 0x00 "MAC_Q3_TX_FLOW_CTRL,MAC Q3 Tx Flow Control" hexmask.long.word 0x00 16.--31. 1. "PT,Pause Time" newline bitfld.long 0x00 7. "DZPQ,Disable Zero-Quanta Pause" "0: Zero-Quanta Pause packet generation is enabled,1: Zero-Quanta Pause packet generation is disabled" newline bitfld.long 0x00 4.--6. "PLT,Pause Low Threshold" "0: Pause Time minus 4 Slot Times (PT -4 slot..,1: Pause Time minus 28 Slot Times (PT -28 slot..,2: Pause Time minus 36 Slot Times (PT -36 slot..,3: Pause Time minus 144 Slot Times (PT -144 slot..,4: Pause Time minus 256 Slot Times (PT -256 slot..,5: Pause Time minus 512 Slot Times (PT -512 slot..,?..." newline bitfld.long 0x00 1. "TFE,Transmit Flow Control Enable" "0: Transmit Flow Control is disabled,1: Transmit Flow Control is enabled" newline bitfld.long 0x00 0. "FCB_BPA,Flow Control Busy" "0: Flow Control Busy or Backpressure Activate is..,1: Flow Control Busy or Backpressure Activate is.." group.long 0x80++0x03 line.long 0x00 "MAC_Q4_TX_FLOW_CTRL,MAC Q4 Tx Flow Control" hexmask.long.word 0x00 16.--31. 1. "PT,Pause Time" newline bitfld.long 0x00 7. "DZPQ,Disable Zero-Quanta Pause" "0: Zero-Quanta Pause packet generation is enabled,1: Zero-Quanta Pause packet generation is disabled" newline bitfld.long 0x00 4.--6. "PLT,Pause Low Threshold" "0: Pause Time minus 4 Slot Times (PT -4 slot..,1: Pause Time minus 28 Slot Times (PT -28 slot..,2: Pause Time minus 36 Slot Times (PT -36 slot..,3: Pause Time minus 144 Slot Times (PT -144 slot..,4: Pause Time minus 256 Slot Times (PT -256 slot..,5: Pause Time minus 512 Slot Times (PT -512 slot..,?..." newline bitfld.long 0x00 1. "TFE,Transmit Flow Control Enable" "0: Transmit Flow Control is disabled,1: Transmit Flow Control is enabled" newline bitfld.long 0x00 0. "FCB_BPA,Flow Control Busy" "0: Flow Control Busy or Backpressure Activate is..,1: Flow Control Busy or Backpressure Activate is.." group.long 0x90++0x03 line.long 0x00 "MAC_RX_FLOW_CTRL,MAC Rx Flow Control" bitfld.long 0x00 8. "PFCE,Priority Based Flow Control Enable" "0: Priority Based Flow Control is disabled,1: Priority Based Flow Control is enabled" newline bitfld.long 0x00 1. "UP,Unicast Pause Packet Detect" "0: Unicast Pause Packet Detect disabled,1: Unicast Pause Packet Detect enabled" newline bitfld.long 0x00 0. "RFE,Receive Flow Control Enable" "0: Receive Flow Control is disabled,1: Receive Flow Control is enabled" group.long 0x94++0x03 line.long 0x00 "MAC_RXQ_CTRL4,Receive Queue Control 4" bitfld.long 0x00 17.--19. "VFFQ,VLAN Tag Filter Fail Packets Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "VFFQE,VLAN Tag Filter Fail Packets Queuing Enable" "0: VLAN tag Filter Fail Packets Queuing is..,1: VLAN tag Filter Fail Packets Queuing is enabled" newline bitfld.long 0x00 9.--11. "MFFQ,Multicast Address Filter Fail Packets Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. "MFFQE,Multicast Address Filter Fail Packets Queuing Enable" "0: Multicast Address Filter Fail Packets Queuing..,1: Multicast Address Filter Fail Packets Queuing.." newline bitfld.long 0x00 1.--3. "UFFQ,Unicast Address Filter Fail Packets Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "UFFQE,Unicast Address Filter Fail Packets Queuing Enable" "0: Unicast Address Filter Fail Packets Queuing..,1: Unicast Address Filter Fail Packets Queuing.." group.long 0x98++0x03 line.long 0x00 "MAC_TXQ_PRTY_MAP0,Transmit Queue Priority Mapping 0" hexmask.long.byte 0x00 24.--31. 1. "PSTQ3,Priorities Selected in Transmit Queue 3 This bit is similar to the PSTQ0 bit" newline hexmask.long.byte 0x00 16.--23. 1. "PSTQ2,Priorities Selected in Transmit Queue 2 This bit is similar to the PSTQ0 bit" newline hexmask.long.byte 0x00 8.--15. 1. "PSTQ1,Priorities Selected in Transmit Queue 1 This bit is similar to the PSTQ0 bit" newline hexmask.long.byte 0x00 0.--7. 1. "PSTQ0,Priorities Selected in Transmit Queue 0" group.long 0x9C++0x03 line.long 0x00 "MAC_TXQ_PRTY_MAP1,Transmit Queue Priority Mapping 1" hexmask.long.byte 0x00 0.--7. 1. "PSTQ4,Priorities Selected in Transmit Queue 4" group.long 0xA0++0x03 line.long 0x00 "MAC_RXQ_CTRL0,Receive Queue Control 0" bitfld.long 0x00 8.--9. "RXQ4EN,Receive Queue 4 Enable This field is similar to the RXQ0EN field" "0: Queue not enabled,1: Queue enabled for AV,2: Queue enabled for DCB/Generic,?..." newline bitfld.long 0x00 6.--7. "RXQ3EN,Receive Queue 3 Enable This field is similar to the RXQ0EN field" "0: Queue not enabled,1: Queue enabled for AV,2: Queue enabled for DCB/Generic,?..." newline bitfld.long 0x00 4.--5. "RXQ2EN,Receive Queue 2 Enable This field is similar to the RXQ0EN field" "0: Queue not enabled,1: Queue enabled for AV,2: Queue enabled for DCB/Generic,?..." newline bitfld.long 0x00 2.--3. "RXQ1EN,Receive Queue 1 Enable This field is similar to the RXQ0EN field" "0: Queue not enabled,1: Queue enabled for AV,2: Queue enabled for DCB/Generic,?..." newline bitfld.long 0x00 0.--1. "RXQ0EN,Receive Queue 0 Enable This field indicates whether Rx Queue 0 is enabled for AV or DCB" "0: Queue not enabled,1: Queue enabled for AV,2: Queue enabled for DCB/Generic,?..." group.long 0xA4++0x03 line.long 0x00 "MAC_RXQ_CTRL1,Receive Queue Control 1" bitfld.long 0x00 24.--26. "FPRQ,Frame Preemption Residue Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22.--23. "TPQC,Tagged PTP over Ethernet Packets Queuing Control" "0,1,2,3" newline bitfld.long 0x00 21. "TACPQE,Tagged AV Control Packets Queuing Enable" "0: Tagged AV Control Packets Queuing is disabled,1: Tagged AV Control Packets Queuing is enabled" newline bitfld.long 0x00 20. "MCBCQEN,Multicast and Broadcast Queue Enable This bit specifies that Multicast or Broadcast packets routing to the Rx Queue is enabled and the Multicast or Broadcast packets must be routed to Rx Queue specified in MCBCQ field" "0: Multicast and Broadcast Queue is disabled,1: Multicast and Broadcast Queue is enabled" newline bitfld.long 0x00 16.--18. "MCBCQ,Multicast and Broadcast Queue" "0: Receive Queue 0,1: Receive Queue 1,2: Receive Queue 2,3: Receive Queue 3,4: Receive Queue 4,?..." newline bitfld.long 0x00 12.--14. "UPQ,Untagged Packet Queue" "0: Receive Queue 0,1: Receive Queue 1,2: Receive Queue 2,3: Receive Queue 3,4: Receive Queue 4,?..." newline bitfld.long 0x00 8.--10. "DCBCPQ,DCB Control Packets Queue" "0: Receive Queue 0,1: Receive Queue 1,2: Receive Queue 2,3: Receive Queue 3,4: Receive Queue 4,?..." newline bitfld.long 0x00 4.--6. "PTPQ,PTP Packets Queue" "0: Receive Queue 0,1: Receive Queue 1,2: Receive Queue 2,3: Receive Queue 3,4: Receive Queue 4,?..." newline bitfld.long 0x00 0.--2. "AVCPQ,AV Untagged Control Packets Queue" "0: Receive Queue 0,1: Receive Queue 1,2: Receive Queue 2,3: Receive Queue 3,4: Receive Queue 4,?..." group.long 0xA8++0x03 line.long 0x00 "MAC_RXQ_CTRL2,Receive Queue Control 2" hexmask.long.byte 0x00 24.--31. 1. "PSRQ3,Priorities Selected in the Receive Queue 3" newline hexmask.long.byte 0x00 16.--23. 1. "PSRQ2,Priorities Selected in the Receive Queue 2" newline hexmask.long.byte 0x00 8.--15. 1. "PSRQ1,Priorities Selected in the Receive Queue 1" newline hexmask.long.byte 0x00 0.--7. 1. "PSRQ0,Priorities Selected in the Receive Queue 0" group.long 0xAC++0x03 line.long 0x00 "MAC_RXQ_CTRL3,Receive Queue Control 3" hexmask.long.byte 0x00 0.--7. 1. "PSRQ4,Priorities Selected in the Receive Queue 4" rgroup.long 0xB0++0x03 line.long 0x00 "MAC_INTERRUPT_STATUS,Interrupt Status" bitfld.long 0x00 20. "MFRIS,MMC FPE Receive Interrupt Status" "0: MMC FPE Receive Interrupt status not active,1: MMC FPE Receive Interrupt status active" newline bitfld.long 0x00 19. "MFTIS,MMC FPE Transmit Interrupt Status" "0: MMC FPE Transmit Interrupt status not active,1: MMC FPE Transmit Interrupt status active" newline bitfld.long 0x00 18. "MDIOIS,MDIO Interrupt Status" "0: MDIO Interrupt status not active,1: MDIO Interrupt status active" newline bitfld.long 0x00 17. "FPEIS,Frame Preemption Interrupt Status" "0: Frame Preemption Interrupt status not active,1: Frame Preemption Interrupt status active" newline bitfld.long 0x00 14. "RXSTSIS,Receive Status Interrupt" "0: Receive Interrupt status not active,1: Receive Interrupt status active" newline bitfld.long 0x00 13. "TXSTSIS,Transmit Status Interrupt" "0: Transmit Interrupt status not active,1: Transmit Interrupt status active" newline bitfld.long 0x00 12. "TSIS,Timestamp Interrupt Status" "0: Timestamp Interrupt status not active,1: Timestamp Interrupt status active" newline bitfld.long 0x00 11. "MMCRXIPIS,MMC Receive Checksum Offload Interrupt Status" "0: MMC Receive Checksum Offload Interrupt status..,1: MMC Receive Checksum Offload Interrupt status.." newline bitfld.long 0x00 10. "MMCTXIS,MMC Transmit Interrupt Status" "0: MMC Transmit Interrupt status not active,1: MMC Transmit Interrupt status active" newline bitfld.long 0x00 9. "MMCRXIS,MMC Receive Interrupt Status" "0: MMC Receive Interrupt status not active,1: MMC Receive Interrupt status active" newline bitfld.long 0x00 8. "MMCIS,MMC Interrupt Status" "0: MMC Interrupt status not active,1: MMC Interrupt status active" newline bitfld.long 0x00 5. "LPIIS,LPI Interrupt Status" "0: LPI Interrupt status not active,1: LPI Interrupt status active" newline bitfld.long 0x00 4. "PMTIS,PMT Interrupt Status" "0: PMT Interrupt status not active,1: PMT Interrupt status active" newline bitfld.long 0x00 3. "PHYIS,PHY Interrupt" "0: PHY Interrupt not detected,1: PHY Interrupt detected" newline bitfld.long 0x00 0. "RGSMIIIS,RGMII or SMII Interrupt Status" "0: RGMII or SMII Interrupt Status is not active,1: RGMII or SMII Interrupt Status is active" group.long 0xB4++0x03 line.long 0x00 "MAC_INTERRUPT_ENABLE,Interrupt Enable" bitfld.long 0x00 18. "MDIOIE,MDIO Interrupt Enable When this bit is set it enables the assertion of the interrupt when MDIOIS field is set in the MAC_INTERRUPT_STATUS register" "0: MDIO Interrupt is disabled,1: MDIO Interrupt is enabled" newline bitfld.long 0x00 17. "FPEIE,Frame Preemption Interrupt Enable When this bit is set it enables the assertion of the interrupt when FPEIS field is set in the MAC_INTERRUPT_STATUS" "0: Frame Preemption Interrupt is disabled,1: Frame Preemption Interrupt is enabled" newline bitfld.long 0x00 14. "RXSTSIE,Receive Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[RXSTSIS]" "0: Receive Status Interrupt is disabled,1: Receive Status Interrupt is enabled" newline bitfld.long 0x00 13. "TXSTSIE,Transmit Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[TXSTSIS]" "0: Timestamp Status Interrupt is disabled,1: Timestamp Status Interrupt is enabled" newline bitfld.long 0x00 12. "TSIE,Timestamp Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[TSIS]" "0: Timestamp Interrupt is disabled,1: Timestamp Interrupt is enabled" newline bitfld.long 0x00 5. "LPIIE,LPI Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[LPIIS]" "0: LPI Interrupt is disabled,1: LPI Interrupt is enabled" newline bitfld.long 0x00 4. "PMTIE,PMT Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[PMTIS]" "0: PMT Interrupt is disabled,1: PMT Interrupt is enabled" newline bitfld.long 0x00 3. "PHYIE,PHY Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of MAC_INTERRUPT_STATUS[PHYIS]" "0: PHY Interrupt is disabled,1: PHY Interrupt is enabled" newline bitfld.long 0x00 0. "RGSMIIIE,RGMII or SMII Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of RGSMIIIS bit in MAC_INTERRUPT_STATUS register" "0: RGMII or SMII Interrupt is disabled,1: RGMII or SMII Interrupt is enabled" rgroup.long 0xB8++0x03 line.long 0x00 "MAC_RX_TX_STATUS,Receive Transmit Status" bitfld.long 0x00 8. "RWT,Receive Watchdog Timeout This bit is set when a packet with length greater than 2 048 bytes is received (10 240 bytes when Jumbo Packet mode is enabled) and the WD bit is reset in the MAC_CONFIGURATION register" "0: No receive watchdog timeout,1: Receive watchdog timed out" newline bitfld.long 0x00 5. "EXCOL,Excessive Collisions When the DTXSTS bit is set in the MAC_OPERATION_MODE register this bit indicates that the transmission aborted after 16 successive collisions while attempting to transmit the current packet" "0: No collision,1: Excessive collision is sensed" newline bitfld.long 0x00 4. "LCOL,Late Collision When the DTXSTS bit is set in the MAC_OPERATION_MODE register this bit indicates that the packet transmission aborted because a collision occurred after the collision window (512 bytes including Preamble and Carrier Extension in GMII.." "0: No collision,1: Late collision is sensed" newline bitfld.long 0x00 3. "EXDEF,Excessive Deferral When the DTXSTS bit is set in the MAC_OPERATION_MODE register and the DC bit is set in the MAC_CONFIGURATION register this bit indicates that the transmission ended because of excessive deferral of over 24 288 bit times (155 680.." "0: No Excessive deferral,1: Excessive deferral" newline bitfld.long 0x00 2. "LCARR,Loss of Carrier When the DTXSTS bit is set in the MAC_OPERATION_MODE register this bit indicates that the loss of carrier occurred during packet transmission that is the phy_crs_i signal was inactive for one or more transmission clock periods.." "0: Carrier is present,1: Loss of carrier" newline bitfld.long 0x00 1. "NCARR,No Carrier When the DTXSTS bit is set in the MAC_OPERATION_MODE register this bit indicates that the carrier signal from the PHY is not present at the end of preamble transmission" "0: Carrier is present,1: No carrier" newline bitfld.long 0x00 0. "TJT,Transmit Jabber Timeout This bit indicates that the Transmit Jabber Timer expired which happens when the packet size exceeds 2 048 bytes (10 240 bytes when the Jumbo packet is enabled) and JD bit is reset in the MAC_CONFIGURATION register" "0: No Transmit Jabber Timeout,1: Transmit Jabber Timeout occurred" group.long 0xC0++0x03 line.long 0x00 "MAC_PMT_CONTROL_STATUS,PMT Control and Status" bitfld.long 0x00 31. "RWKFILTRST,Remote Wake-Up Packet Filter Register Pointer Reset When this bit is set the remote wake-up packet filter register pointer is reset to 3'b000" "0: Remote Wake-Up Packet Filter Register Pointer..,1: Remote Wake-Up Packet Filter Register Pointer.." newline rbitfld.long 0x00 24.--28. "RWKPTR,Remote Wake-up FIFO Pointer This field gives the current value (0 to 7 15 or 31 when 4 8 or 16 Remote Wake-up Packet Filters are selected) of the Remote Wake-up Packet Filter register pointer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "RWKPFE,Remote Wake-up Packet Forwarding Enable When this bit is set along with RWKPKTEN the MAC receiver drops all received frames until it receives the expected Wake-up frame" "0: Remote Wake-up Packet Forwarding is disabled,1: Remote Wake-up Packet Forwarding is enabled" newline bitfld.long 0x00 9. "GLBLUCAST,Global Unicast When this bit set any unicast packet filtered by the MAC (DAF) address recognition is detected as a remote wake-up packet" "0: Global unicast is disabled,1: Global unicast is enabled" newline rbitfld.long 0x00 6. "RWKPRCVD,Remote Wake-Up Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a remote wake-up packet" "0: Remote wake-up packet is received,1: Remote wake-up packet is received" newline rbitfld.long 0x00 5. "MGKPRCVD,Magic Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a magic packet" "0: No Magic packet is received,1: Magic packet is received" newline bitfld.long 0x00 2. "RWKPKTEN,Remote Wake-Up Packet Enable When this bit is set a power management event is generated when the MAC receives a remote wake-up packet" "0: Remote wake-up packet is disabled,1: Remote wake-up packet is enabled" newline bitfld.long 0x00 1. "MGKPKTEN,Magic Packet Enable When this bit is set a power management event is generated when the MAC receives a magic packet" "0: Magic Packet is disabled,1: Magic Packet is enabled" newline bitfld.long 0x00 0. "PWRDWN,Power Down When this bit is set the MAC receiver drops all received packets until it receives the expected magic packet or remote wake-up packet" "0: Power down is disabled,1: Power down is enabled" group.long 0xC4++0x03 line.long 0x00 "MAC_RWK_PACKET_FILTER,Remote Wakeup Filter" hexmask.long 0x00 0.--31. 1. "WKUPFRMFTR,RWK Packet Filter This field contains the various controls of RWK Packet filter" group.long 0xD0++0x03 line.long 0x00 "MAC_LPI_CONTROL_STATUS,LPI Control and Status" bitfld.long 0x00 21. "LPITCSE,LPI Tx Clock Stop Enable When this bit is set the MAC asserts sbd_tx_clk_gating_ctrl_o signal high after it enters Tx LPI mode to indicate that the Tx clock to MAC can be stopped" "0: LPI Tx Clock Stop is disabled,1: LPI Tx Clock Stop is enabled" newline bitfld.long 0x00 20. "LPIATE,LPI Timer Enable This bit controls the automatic entry of the MAC Transmitter into and exit out of the LPI state" "0: LPI Timer is disabled,1: LPI Timer is enabled" newline bitfld.long 0x00 19. "LPITXA,LPI Tx Automate This bit controls the behavior of the MAC when it is entering or coming out of the LPI mode on the Transmit side" "0: LPI Tx Automate is disabled,1: LPI Tx Automate is enabled" newline bitfld.long 0x00 18. "PLSEN,PHY Link Status Enable This bit enables the link status received on the RGMII SGMII or SMII Receive paths to be used for activating the LPI LS TIMER" "0: PHY Link Status is disabled,1: PHY Link Status is enabled" newline bitfld.long 0x00 17. "PLS,PHY Link Status This bit indicates the link status of the PHY" "0: link is down,1: link is okay (UP)" newline bitfld.long 0x00 16. "LPIEN,LPI Enable When this bit is set it instructs the MAC Transmitter to enter the LPI state" "0: LPI state is disabled,1: LPI state is enabled" newline rbitfld.long 0x00 9. "RLPIST,Receive LPI State When this bit is set it indicates that the MAC is receiving the LPI pattern on the GMII interface" "0: Receive LPI state not detected,1: Receive LPI state detected" newline rbitfld.long 0x00 8. "TLPIST,Transmit LPI State When this bit is set it indicates that the MAC is transmitting the LPI pattern on the GMII interface" "0: Transmit LPI state not detected,1: Transmit LPI state detected" newline rbitfld.long 0x00 3. "RLPIEX,Receive LPI Exit When this bit is set it indicates that the MAC Receiver has stopped receiving the LPI pattern on the GMII interface exited the LPI state and resumed the normal reception" "0: Receive LPI exit not detected,1: Receive LPI exit detected" newline rbitfld.long 0x00 2. "RLPIEN,Receive LPI Entry When this bit is set it indicates that the MAC Receiver has received an LPI pattern and entered the LPI state" "0: Receive LPI entry not detected,1: Receive LPI entry detected" newline rbitfld.long 0x00 1. "TLPIEX,Transmit LPI Exit When this bit is set it indicates that the MAC transmitter exited the LPI state after the application cleared the LPIEN bit and the LPI TW Timer has expired" "0: Transmit LPI exit not detected,1: Transmit LPI exit detected" newline rbitfld.long 0x00 0. "TLPIEN,Transmit LPI Entry When this bit is set it indicates that the MAC Transmitter has entered the LPI state because of the setting of the LPIEN bit" "0: Transmit LPI entry not detected,1: Transmit LPI entry detected" group.long 0xD4++0x03 line.long 0x00 "MAC_LPI_TIMERS_CONTROL,LPI Timers Control" hexmask.long.word 0x00 16.--25. 1. "LST,LPI LS Timer This field specifies the minimum time (in milliseconds) for which the link status from the PHY should be up (OKAY) before the LPI pattern can be transmitted to the PHY" newline hexmask.long.word 0x00 0.--15. 1. "TWT,LPI TW Timer This field specifies the minimum time (in microseconds) for which the MAC waits after it stops transmitting the LPI pattern to the PHY and before it resumes the normal transmission" group.long 0xD8++0x03 line.long 0x00 "MAC_LPI_ENTRY_TIMER,Tx LPI Entry Timer Control" hexmask.long.tbyte 0x00 3.--19. 1. "LPIET,LPI Entry Timer This field specifies the time in microseconds the MAC waits to enter LPI mode after it has transmitted all the frames" group.long 0xDC++0x03 line.long 0x00 "MAC_ONEUS_TIC_COUNTER,One-microsecond Reference Timer" hexmask.long.word 0x00 0.--11. 1. "TIC_1US_CNTR,1US TIC Counter The application must program this counter so that the number of clock cycles of CSR clock is 1us" group.long 0xF8++0x03 line.long 0x00 "MAC_PHYIF_CONTROL_STATUS,PHY Interface Control and Status" rbitfld.long 0x00 19. "LNKSTS,Link Status This bit indicates whether the link is up (1'b1) or down (1'b0)" "0: Link down,1: Link up" newline rbitfld.long 0x00 17.--18. "LNKSPEED,Link Speed This bit indicates the current speed of the link" "0: bf_2500K,1: bf_25M,2: bf_125M,?..." newline rbitfld.long 0x00 16. "LNKMOD,Link Mode This bit indicates the current mode of operation of the link" "0: Half-duplex mode,1: Full-duplex mode" newline bitfld.long 0x00 1. "LUD,Link Up or Down This bit indicates whether the link is up or down during transmission of configuration in the RGMII SGMII or SMII interface" "0: Link down,1: Link up" newline bitfld.long 0x00 0. "TC,Transmit Configuration in RGMII SGMII or SMII When set this bit enables the transmission of duplex mode link speed and link up or down information to the PHY in the RGMII SMII or SGMII port" "0: Disable Transmit Configuration in RGMII SGMII..,1: Enable Transmit Configuration in RGMII SGMII.." rgroup.long 0x110++0x03 line.long 0x00 "MAC_VERSION,MAC Version" hexmask.long.byte 0x00 8.--15. 1. "USERVER,User-defined Version (8'h10)" newline hexmask.long.byte 0x00 0.--7. 1. "SNPSVER,Synopsys-defined Version" rgroup.long 0x114++0x03 line.long 0x00 "MAC_DEBUG,MAC Debug" bitfld.long 0x00 17.--18. "TFCSTS,MAC Transmit Packet Controller Status This field indicates the state of the MAC Transmit Packet Controller module" "0: Idle state,1: Waiting for one of the following,2: Generating and transmitting a Pause control..,3: Transferring input packet for transmission" newline bitfld.long 0x00 16. "TPESTS,MAC GMII or MII Transmit Protocol Engine Status When this bit is set it indicates that the MAC GMII or MII transmit protocol engine is actively transmitting data and it is not in the Idle state" "0: MAC GMII Transmit Protocol Engine Status not..,1: MAC GMII Transmit Protocol Engine Status.." newline bitfld.long 0x00 1.--2. "RFCFCSTS,MAC Receive Packet Controller FIFO Status When this bit is set this field indicates the active state of the small FIFO Read and Write controllers of the MAC Receive Packet Controller module" "0,1,2,3" newline bitfld.long 0x00 0. "RPESTS,MAC GMII Receive Protocol Engine Status When this bit is set it indicates that the MAC GMII receive protocol engine is actively receiving data and it is not in the Idle state" "0: MAC GMII Receive Protocol Engine Status not..,1: MAC GMII Receive Protocol Engine Status.." rgroup.long 0x11C++0x03 line.long 0x00 "MAC_HW_FEATURE0,Optional Features or Functions 0" bitfld.long 0x00 28.--30. "ACTPHYSEL,Active PHY Selected When you have multiple PHY interfaces in your configuration this field indicates the sampled value of phy_intf_sel_i during reset de-assertion" "0: GMII_MII,1: RGMII,2: SGMII,3: TBI,4: RMII,5: RTBI,6: SMII,7: REVMIII" newline bitfld.long 0x00 27. "SAVLANINS,Source Address or VLAN Insertion Enable This bit is set to 1 when the Enable SA and VLAN Insertion on Tx option is selected" "0: Source Address or VLAN Insertion Enable..,1: Source Address or VLAN Insertion Enable.." newline bitfld.long 0x00 25.--26. "TSSTSSEL,Timestamp System Time Source This bit indicates the source of the Timestamp system time: This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected" "?,1: Internal,2: External,3: BOTH" newline bitfld.long 0x00 24. "MACADR64SEL,MAC Addresses 64-127 Selected This bit is set to 1 when the Enable Additional 64 MAC Address Registers (64-127) option is selected" "0: MAC Addresses 64-127 Select option is not..,1: MAC Addresses 64-127 Select option is selected" newline bitfld.long 0x00 23. "MACADR32SEL,MAC Addresses 32-63 Selected This bit is set to 1 when the Enable Additional 32 MAC Address Registers (32-63) option is selected" "0: MAC Addresses 32-63 Select option is not..,1: MAC Addresses 32-63 Select option is selected" newline bitfld.long 0x00 18.--22. "ADDMACADRSEL,MAC Addresses 1-31 Selected This bit is set to 1 when the non-zero value is selected for Enable Additional 1-31 MAC Address Registers option" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16. "RXCOESEL,Receive Checksum Offload Enabled This bit is set to 1 when the Enable Receive TCP/IP Checksum Check option is selected" "0: Receive Checksum Offload Enable option is not..,1: Receive Checksum Offload Enable option is.." newline bitfld.long 0x00 14. "TXCOESEL,Transmit Checksum Offload Enabled This bit is set to 1 when the Enable Transmit TCP/IP Checksum Insertion option is selected" "0: Transmit Checksum Offload Enable option is..,1: Transmit Checksum Offload Enable option is.." newline bitfld.long 0x00 13. "EEESEL,Energy Efficient Ethernet Enabled This bit is set to 1 when the Enable Energy Efficient Ethernet (EEE) option is selected" "0: Energy Efficient Ethernet Enable option is..,1: Energy Efficient Ethernet Enable option is.." newline bitfld.long 0x00 12. "TSSEL,IEEE 1588-2008 Timestamp Enabled This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected" "0: IEEE 1588-2008 Timestamp Enable option is not..,1: IEEE 1588-2008 Timestamp Enable option is.." newline bitfld.long 0x00 9. "ARPOFFSEL,ARP Offload Enabled This bit is set to 1 when the Enable IPv4 ARP Offload option is selected" "0: ARP Offload Enable option is not selected,1: ARP Offload Enable option is selected" newline bitfld.long 0x00 8. "MMCSEL,RMON Module Enable This bit is set to 1 when the Enable MAC Management Counters (MMC) option is selected" "0: RMON Module Enable option is not selected,1: RMON Module Enable option is selected" newline bitfld.long 0x00 7. "MGKSEL,PMT Magic Packet Enable This bit is set to 1 when the Enable Magic Packet Detection option is selected" "0: PMT Magic Packet Enable option is not selected,1: PMT Magic Packet Enable option is selected" newline bitfld.long 0x00 6. "RWKSEL,PMT Remote Wake-up Packet Enable This bit is set to 1 when the Enable Remote Wake-Up Packet Detection option is selected" "0: PMT Remote Wake-up Packet Enable option is..,1: PMT Remote Wake-up Packet Enable option is.." newline bitfld.long 0x00 5. "SMASEL,SMA (MDIO) Interface This bit is set to 1 when the Enable Station Management (MDIO Interface) option is selected" "0: SMA (MDIO) Interface not selected,1: SMA (MDIO) Interface selected" newline bitfld.long 0x00 4. "VLHASH,VLAN Hash Filter Selected This bit is set to 1 when the Enable VLAN Hash Table Based Filtering option is selected" "0: VLAN Hash Filter not selected,1: VLAN Hash Filter selected" newline bitfld.long 0x00 3. "PCSSEL,PCS Registers (TBI SGMII or RTBI PHY interface) This bit is set to 1 when the TBI SGMII or RTBI PHY interface option is selected" "0: No PCS Registers (TBI SGMII or RTBI PHY..,1: PCS Registers (TBI SGMII or RTBI PHY interface)" newline bitfld.long 0x00 2. "HDSEL,Half-duplex Support This bit is set to 1 when the half-duplex mode is selected" "0: No Half-duplex support,1: Half-duplex support" newline bitfld.long 0x00 1. "GMIISEL,1000 Mbps Support This bit is set to 1 when 1000 Mbps is selected as the Mode of Operation" "0: No 1000 Mbps support,1: 1000 Mbps support" newline bitfld.long 0x00 0. "MIISEL,10 or 100 Mbps Support This bit is set to 1 when 10/100 Mbps is selected as the Mode of Operation" "0: No 10 or 100 Mbps support,1: 10 or 100 Mbps support" rgroup.long 0x120++0x03 line.long 0x00 "MAC_HW_FEATURE1,Optional Features or Functions 1" bitfld.long 0x00 27.--30. "L3L4FNUM,Total number of L3 or L4 Filters This field indicates the total number of L3 or L4 filters" "0: No L3 or L4 Filter,1: 1 L3 or L4 Filter,2: 2 L3 or L4 Filters,3: 3 L3 or L4 Filters,4: 4 L3 or L4 Filters,5: 5 L3 or L4 Filters,6: 6 L3 or L4 Filters,7: 7 L3 or L4 Filters,8: 8 L3 or L4 Filters,?..." newline bitfld.long 0x00 24.--25. "HASHTBLSZ,Hash Table Size This field indicates the size of the hash table" "0: No hash table,1: bf_64,2: bf_128,3: bf_256" newline bitfld.long 0x00 23. "POUOST,One Step for PTP over UDP/IP Feature Enable This bit is set to 1 when the Enable One step timestamp for PTP over UDP/IP feature is selected" "0: One Step for PTP over UDP/IP Feature is not..,1: One Step for PTP over UDP/IP Feature is.." newline bitfld.long 0x00 21. "RAVSEL,Rx Side Only AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option on Rx Side Only is selected" "0: Rx Side Only AV Feature is not selected,1: Rx Side Only AV Feature is selected" newline bitfld.long 0x00 20. "AVSEL,AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option is selected" "0: AV Feature is not selected,1: AV Feature is selected" newline bitfld.long 0x00 19. "DBGMEMA,DMA Debug Registers Enable This bit is set to 1 when the Debug Mode Enable option is selected" "0: DMA Debug Registers option is not selected,1: DMA Debug Registers option is selected" newline bitfld.long 0x00 18. "TSOEN,TCP Segmentation Offload Enable This bit is set to 1 when the Enable TCP Segmentation Offloading for TCP/IP Packets option is selected" "0: TCP Segmentation Offload Feature is not..,1: TCP Segmentation Offload Feature is selected" newline bitfld.long 0x00 17. "SPHEN,Split Header Feature Enable This bit is set to 1 when the Enable Split Header Structure option is selected" "0: Split Header Feature is not selected,1: Split Header Feature is selected" newline bitfld.long 0x00 16. "DCBEN,DCB Feature Enable This bit is set to 1 when the Enable Data Center Bridging option is selected" "0: DCB Feature is not selected,1: DCB Feature is selected" newline bitfld.long 0x00 14.--15. "ADDR64,Address Width" "0: bf_32,1: bf_40,2: bf_48,?..." newline bitfld.long 0x00 13. "ADVTHWORD,IEEE 1588 High Word Register Enable This bit is set to 1 when the Add IEEE 1588 Higher Word Register option is selected" "0: IEEE 1588 High Word Register option is not..,1: IEEE 1588 High Word Register option is selected" newline bitfld.long 0x00 12. "PTOEN,PTP Offload Enable This bit is set to 1 when the Enable PTP Timestamp Offload Feature is selected" "0: PTP Offload feature is not selected,1: PTP Offload feature is selected" newline bitfld.long 0x00 11. "OSTEN,One-Step Timestamping Enable This bit is set to 1 when the Enable One-Step Timestamp Feature is selected" "0: One-Step Timestamping feature is not selected,1: One-Step Timestamping feature is selected" newline bitfld.long 0x00 6.--10. "TXFIFOSIZE,MTL Transmit FIFO Size This field contains the configured value of MTL Tx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(TXFIFO_SIZE) -7" "0: 128 bytes,1: 256 bytes,2: 512 bytes,3: 1024 bytes,4: 2048 bytes,5: 4096 bytes,6: 8192 bytes,7: 16384 bytes,8: bf_32KB,9: bf_64KB,10: bf_128KB,?..." newline bitfld.long 0x00 5. "SPRAM,Single Port RAM Enable This bit is set to 1 when the Use single port RAM Feature is selected" "0: Single Port RAM feature is not selected,1: Single Port RAM feature is selected" newline bitfld.long 0x00 0.--4. "RXFIFOSIZE,MTL Receive FIFO Size This field contains the configured value of MTL Rx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(RXFIFO_SIZE) -7" "0: 128 bytes,1: 256 bytes,2: 512 bytes,3: 1024 bytes,4: 2048 bytes,5: 4096 bytes,6: 8192 bytes,7: 16384 bytes,8: bf_32KB,9: bf_64KB,10: bf_128KB,11: bf_256KB,?..." rgroup.long 0x124++0x03 line.long 0x00 "MAC_HW_FEATURE2,Optional Features or Functions 2" bitfld.long 0x00 28.--30. "AUXSNAPNUM,Number of Auxiliary Snapshot Inputs This field indicates the number of auxiliary snapshot inputs" "0: No auxiliary input,1: 1 auxiliary input,2: 2 auxiliary input,3: 3 auxiliary input,4: 4 auxiliary input,?..." newline bitfld.long 0x00 24.--26. "PPSOUTNUM,Number of PPS Outputs This field indicates the number of PPS outputs" "0: No PPS output,1: 1 PPS output,2: 2 PPS output,3: 3 PPS output,4: 4 PPS output,?..." newline bitfld.long 0x00 18.--21. "TXCHCNT,Number of DMA Transmit Channels This field indicates the number of DMA Transmit channels" "0: 1 MTL Tx Channel,1: 2 MTL Tx Channels,2: 3 MTL Tx Channels,3: 4 MTL Tx Channels,4: 5 MTL Tx Channels,?..." newline bitfld.long 0x00 12.--15. "RXCHCNT,Number of DMA Receive Channels This field indicates the number of DMA Receive channels" "0: 1 MTL Rx Channel,1: 2 MTL Rx Channels,2: 3 MTL Rx Channels,3: 4 MTL Rx Channels,4: 5 MTL Rx Channels,?..." newline bitfld.long 0x00 6.--9. "TXQCNT,Number of MTL Transmit Queues This field indicates the number of MTL Transmit queues" "0: 1 MTL Tx Queue,1: 2 MTL Tx Queues,2: 3 MTL Tx Queues,3: 4 MTL Tx Queues,4: 5 MTL Tx Queues,?..." newline bitfld.long 0x00 0.--3. "RXQCNT,Number of MTL Receive Queues This field indicates the number of MTL Receive queues" "0: 1 MTL Rx Queue,1: 2 MTL Rx Queues,2: 3 MTL Rx Queues,3: 4 MTL Rx Queues,4: 5 MTL Rx Queues,?..." rgroup.long 0x128++0x03 line.long 0x00 "MAC_HW_FEATURE3,Optional Features or Functions 3" bitfld.long 0x00 28.--29. "ASP,Automotive Safety Package Following are the encoding for the different Safety features" "0: No Safety features selected,1: Only ECC protection for external memory..,2: All the Automotive Safety features are..,3: All the Automotive Safety features are.." newline bitfld.long 0x00 27. "TBSSEL,Time Based Scheduling Enable This bit is set to 1 when the Time Based Scheduling feature is selected" "0: Time Based Scheduling Enable feature is not..,1: Time Based Scheduling Enable feature is.." newline bitfld.long 0x00 26. "FPESEL,Frame Preemption Enable This bit is set to 1 when the Enable Frame preemption feature is selected" "0: Frame Preemption Enable feature is not selected,1: Frame Preemption Enable feature is selected" newline bitfld.long 0x00 20.--21. "ESTWID,Width of the Time Interval field in the Gate Control List This field indicates the width of the Configured Time Interval Field" "0: Width not configured,1: WIDTH16,2: WIDTH20,3: WIDTH24" newline bitfld.long 0x00 17.--19. "ESTDEP,Depth of the Gate Control List This field indicates the depth of Gate Control list expressed as Log2(DWC_EQOS_EST_DEP)-5" "0: No Depth configured,1: DEPTH64,2: DEPTH128,3: DEPTH256,4: DEPTH512,5: DEPTH1024,?..." newline bitfld.long 0x00 16. "ESTSEL,Enhancements to Scheduling Traffic Enable This bit is set to 1 when the Enable Enhancements to Scheduling Traffic feature is selected" "0: Enable Enhancements to Scheduling Traffic..,1: Enable Enhancements to Scheduling Traffic.." newline bitfld.long 0x00 13.--14. "FRPES,Flexible Receive Parser Table Entries size This field indicates the Max Number of Parser Entries supported by Flexible Receive Parser" "0: 64 Entries,1: 128 Entries,2: 256 Entries,?..." newline bitfld.long 0x00 11.--12. "FRPBS,Flexible Receive Parser Buffer size This field indicates the supported Max Number of bytes of the packet data to be Parsed by Flexible Receive Parser" "0: bf_64BYTES,1: bf_128BYTES,2: bf_256BYTES,?..." newline bitfld.long 0x00 10. "FRPSEL,Flexible Receive Parser Selected This bit is set to 1 when the Enable Flexible Programmable Receive Parser option is selected" "0: Flexible Receive Parser feature is not selected,1: Flexible Receive Parser feature is selected" newline bitfld.long 0x00 9. "PDUPSEL,Broadcast/Multicast Packet Duplication This bit is set to 1 when the Broadcast/Multicast Packet Duplication feature is selected" "0: Broadcast/Multicast Packet Duplication..,1: Broadcast/Multicast Packet Duplication.." newline bitfld.long 0x00 5. "DVLAN,Double VLAN Tag Processing Selected This bit is set to 1 when the Enable Double VLAN Processing Feature is selected" "0: Double VLAN option is not selected,1: Double VLAN option is selected" newline bitfld.long 0x00 4. "CBTISEL,Queue/Channel based VLAN tag insertion on Tx Enable This bit is set to 1 when the Enable Queue/Channel based VLAN tag insertion on Tx Feature is selected" "0: Enable Queue/Channel based VLAN tag insertion..,1: Enable Queue/Channel based VLAN tag insertion.." newline bitfld.long 0x00 0.--2. "NRVF,Number of Extended VLAN Tag Filters Enabled This field indicates the Number of Extended VLAN Tag Filters selected" "0: No Extended Rx VLAN Filters,1: 4 Extended Rx VLAN Filters,2: 8 Extended Rx VLAN Filters,3: 16 Extended Rx VLAN Filters,4: 24 Extended Rx VLAN Filters,5: 32 Extended Rx VLAN Filters,?..." group.long 0x200++0x03 line.long 0x00 "MAC_MDIO_ADDRESS,MDIO Address" bitfld.long 0x00 27. "PSE,Preamble Suppression Enable When this bit is set the SMA suppresses the 32-bit preamble and transmits MDIO frames with only 1 preamble bit" "0: Preamble Suppression disabled,1: Preamble Suppression enabled" newline bitfld.long 0x00 26. "BTB,Back to Back transactions When this bit is set and the NTC has value greater than 0 then the MAC informs the completion of a read or write command at the end of frame transfer (before the trailing clocks are transmitted)" "0: Back to Back transactions disabled,1: Back to Back transactions enabled" newline bitfld.long 0x00 21.--25. "PA,Physical Layer Address This field indicates which Clause 22 PHY devices (out of 32 devices) the MAC is accessing" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "RDA,Register/Device Address These bits select the PHY register in selected Clause 22 PHY device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--14. "NTC,Number of Trailing Clocks This field controls the number of trailing clock cycles generated on gmii_mdc_o (MDC) after the end of transmission of MDIO frame" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "CR,CSR Clock Range The CSR Clock Range selection determines the frequency of the MDC clock according to the CSR clock frequency used in your design" "0: CSR clock = 60-100 MHz MDC clock = CSR clock/42,1: CSR clock = 100-150 MHz MDC clock = CSR..,2: CSR clock = 20-35 MHz MDC clock = CSR clock/16,3: CSR clock = 35-60 MHz MDC clock = CSR clock/26,4: CSR clock = 150-250 MHz MDC clock = CSR..,5: CSR clock = 250-300 MHz MDC clock = CSR..,6: CSR clock = 300-500 MHz MDC clock = CSR..,7: CSR clock = 500-800 MHz MDC clock = CSR,?,?,?,11: 0) ensures that the MDC clock is approximately,?..." newline bitfld.long 0x00 4. "SKAP,Skip Address Packet When this bit is set the SMA does not send the address packets before read write or post-read increment address packets" "0: Skip Address Packet is disabled,1: Skip Address Packet is enabled" newline bitfld.long 0x00 3. "GOC_1,GMII Operation Command 1 This bit is higher bit of the operation command to the PHY or RevMII GOC_1 and GOC_O is encoded as follows" "0: GMII Operation Command 1 is disabled,1: GMII Operation Command 1 is enabled" newline bitfld.long 0x00 2. "GOC_0,GMII Operation Command 0 This is the lower bit of the operation command to the PHY or RevMII" "0: GMII Operation Command 0 is disabled,1: GMII Operation Command 0 is enabled" newline bitfld.long 0x00 1. "C45E,Clause 45 PHY Enable When this bit is set Clause 45 capable PHY is connected to MDIO" "0: Clause 45 PHY is disabled,1: Clause 45 PHY is enabled" newline bitfld.long 0x00 0. "GB,GMII Busy The application sets this bit to instruct the SMA to initiate a Read or Write access to the MDIO slave" "0: GMII Busy is disabled,1: GMII Busy is enabled" group.long 0x204++0x03 line.long 0x00 "MAC_MDIO_DATA,MAC MDIO Data" hexmask.long.word 0x00 16.--31. 1. "RA,Register Address This field is valid only when C45E is set" newline hexmask.long.word 0x00 0.--15. 1. "GD,GMII Data This field contains the 16-bit data value read from the PHY or RevMII after a Management Read operation or the 16-bit data value to be written to the PHY or RevMII before a Management Write operation" group.long 0x230++0x03 line.long 0x00 "MAC_CSR_SW_CTRL,CSR Software Control" bitfld.long 0x00 0. "RCWE,Register Clear on Write 1 Enable When this bit is set the access mode of some register fields changes to Clear on Write 1 the application needs to set that respective bit to 1 to clear it" "0: Register Clear on Write 1 is disabled,1: Register Clear on Write 1 is enabled" group.long 0x234++0x03 line.long 0x00 "MAC_FPE_CTRL_STS,Frame Preemption Control" bitfld.long 0x00 19. "TRSP,Transmitted Respond Frame Set when a Respond mPacket is transmitted (triggered by setting SRSP field)" "0: Not transmitted Respond Frame,1: transmitted Respond Frame" newline bitfld.long 0x00 18. "TVER,Transmitted Verify Frame Set when a Verify mPacket is transmitted (triggered by setting SVER field)" "0: Not transmitted Verify Frame,1: transmitted Verify Frame" newline bitfld.long 0x00 17. "RRSP,Received Respond Frame Set when a Respond mPacket is received" "0: Not received Respond Frame,1: Received Respond Frame" newline bitfld.long 0x00 16. "RVER,Received Verify Frame Set when a Verify mPacket is received" "0: Not received Verify Frame,1: Received Verify Frame" newline bitfld.long 0x00 3. "S1_SET_0,Synopsys Reserved Must be set to 0" "0,1" newline bitfld.long 0x00 2. "SRSP,Send Respond mPacket When set indicates hardware to send a Respond mPacket" "0: Send Respond mPacket is disabled,1: Send Respond mPacket is enabled" newline bitfld.long 0x00 1. "SVER,Send Verify mPacket When set indicates hardware to send a verify mPacket" "0: Send Verify mPacket is disabled,1: Send Verify mPacket is enabled" newline bitfld.long 0x00 0. "EFPE,Enable Tx Frame Preemption When set Frame Preemption Tx functionality is enabled" "0: Tx Frame Preemption is disabled,1: Tx Frame Preemption is enabled" rgroup.long 0x240++0x03 line.long 0x00 "MAC_PRESN_TIME_NS,32-bit Binary Rollover Equivalent Time" hexmask.long 0x00 0.--31. 1. "MPTN,MAC 1722 Presentation Time in ns These bits indicate the value of the 32-bit binary rollover equivalent time of the PTP System Time in ns" group.long 0x244++0x03 line.long 0x00 "MAC_PRESN_TIME_UPDT,MAC 1722 Presentation Time" hexmask.long 0x00 0.--31. 1. "MPTU,MAC 1722 Presentation Time Update This field holds the init value or the update value for the presentation time" group.long 0x300++0x03 line.long 0x00 "MAC_ADDRESS0_HIGH,MAC Address0 High" rbitfld.long 0x00 31. "AE,Address Enable This bit is always set to 1" "0: INVALID,1: This bit is always set to 1" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address0 content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC Address0[47:32] This field contains the upper 16 bits [47:32] of the first 6-byte MAC address" group.long 0x304++0x03 line.long 0x00 "MAC_ADDRESS0_LOW,MAC Address0 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC Address0[31:0] This field contains the lower 32 bits of the first 6-byte MAC address" group.long 0x308++0x03 line.long 0x00 "MAC_ADDRESS1_HIGH,MAC Address1 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x30C++0x03 line.long 0x00 "MAC_ADDRESS1_LOW,MAC Address1 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x310++0x03 line.long 0x00 "MAC_ADDRESS2_HIGH,MAC Address2 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x314++0x03 line.long 0x00 "MAC_ADDRESS2_LOW,MAC Address2 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x318++0x03 line.long 0x00 "MAC_ADDRESS3_HIGH,MAC Address3 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x31C++0x03 line.long 0x00 "MAC_ADDRESS3_LOW,MAC Address3 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x320++0x03 line.long 0x00 "MAC_ADDRESS4_HIGH,MAC Address4 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x324++0x03 line.long 0x00 "MAC_ADDRESS4_LOW,MAC Address4 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x328++0x03 line.long 0x00 "MAC_ADDRESS5_HIGH,MAC Address5 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x32C++0x03 line.long 0x00 "MAC_ADDRESS5_LOW,MAC Address5 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x330++0x03 line.long 0x00 "MAC_ADDRESS6_HIGH,MAC Address6 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x334++0x03 line.long 0x00 "MAC_ADDRESS6_LOW,MAC Address6 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x338++0x03 line.long 0x00 "MAC_ADDRESS7_HIGH,MAC Address7 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x33C++0x03 line.long 0x00 "MAC_ADDRESS7_LOW,MAC Address7 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x340++0x03 line.long 0x00 "MAC_ADDRESS8_HIGH,MAC Address8 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x344++0x03 line.long 0x00 "MAC_ADDRESS8_LOW,MAC Address8 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x348++0x03 line.long 0x00 "MAC_ADDRESS9_HIGH,MAC Address9 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x34C++0x03 line.long 0x00 "MAC_ADDRESS9_LOW,MAC Address9 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x350++0x03 line.long 0x00 "MAC_ADDRESS10_HIGH,MAC Address10 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x354++0x03 line.long 0x00 "MAC_ADDRESS10_LOW,MAC Address10 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x358++0x03 line.long 0x00 "MAC_ADDRESS11_HIGH,MAC Address11 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x35C++0x03 line.long 0x00 "MAC_ADDRESS11_LOW,MAC Address11 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x360++0x03 line.long 0x00 "MAC_ADDRESS12_HIGH,MAC Address12 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x364++0x03 line.long 0x00 "MAC_ADDRESS12_LOW,MAC Address12 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x368++0x03 line.long 0x00 "MAC_ADDRESS13_HIGH,MAC Address13 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x36C++0x03 line.long 0x00 "MAC_ADDRESS13_LOW,MAC Address13 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x370++0x03 line.long 0x00 "MAC_ADDRESS14_HIGH,MAC Address14 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x374++0x03 line.long 0x00 "MAC_ADDRESS14_LOW,MAC Address14 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x378++0x03 line.long 0x00 "MAC_ADDRESS15_HIGH,MAC Address15 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x37C++0x03 line.long 0x00 "MAC_ADDRESS15_LOW,MAC Address15 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x380++0x03 line.long 0x00 "MAC_ADDRESS16_HIGH,MAC Address16 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x384++0x03 line.long 0x00 "MAC_ADDRESS16_LOW,MAC Address16 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x388++0x03 line.long 0x00 "MAC_ADDRESS17_HIGH,MAC Address17 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x38C++0x03 line.long 0x00 "MAC_ADDRESS17_LOW,MAC Address17 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x390++0x03 line.long 0x00 "MAC_ADDRESS18_HIGH,MAC Address18 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x394++0x03 line.long 0x00 "MAC_ADDRESS18_LOW,MAC Address18 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x398++0x03 line.long 0x00 "MAC_ADDRESS19_HIGH,MAC Address19 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x39C++0x03 line.long 0x00 "MAC_ADDRESS19_LOW,MAC Address19 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3A0++0x03 line.long 0x00 "MAC_ADDRESS20_HIGH,MAC Address20 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3A4++0x03 line.long 0x00 "MAC_ADDRESS20_LOW,MAC Address20 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3A8++0x03 line.long 0x00 "MAC_ADDRESS21_HIGH,MAC Address21 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3AC++0x03 line.long 0x00 "MAC_ADDRESS21_LOW,MAC Address21 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3B0++0x03 line.long 0x00 "MAC_ADDRESS22_HIGH,MAC Address22 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3B4++0x03 line.long 0x00 "MAC_ADDRESS22_LOW,MAC Address22 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3B8++0x03 line.long 0x00 "MAC_ADDRESS23_HIGH,MAC Address23 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3BC++0x03 line.long 0x00 "MAC_ADDRESS23_LOW,MAC Address23 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3C0++0x03 line.long 0x00 "MAC_ADDRESS24_HIGH,MAC Address24 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3C4++0x03 line.long 0x00 "MAC_ADDRESS24_LOW,MAC Address24 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3C8++0x03 line.long 0x00 "MAC_ADDRESS25_HIGH,MAC Address25 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3CC++0x03 line.long 0x00 "MAC_ADDRESS25_LOW,MAC Address25 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3D0++0x03 line.long 0x00 "MAC_ADDRESS26_HIGH,MAC Address26 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3D4++0x03 line.long 0x00 "MAC_ADDRESS26_LOW,MAC Address26 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3D8++0x03 line.long 0x00 "MAC_ADDRESS27_HIGH,MAC Address27 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3DC++0x03 line.long 0x00 "MAC_ADDRESS27_LOW,MAC Address27 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3E0++0x03 line.long 0x00 "MAC_ADDRESS28_HIGH,MAC Address28 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3E4++0x03 line.long 0x00 "MAC_ADDRESS28_LOW,MAC Address28 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3E8++0x03 line.long 0x00 "MAC_ADDRESS29_HIGH,MAC Address29 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3EC++0x03 line.long 0x00 "MAC_ADDRESS29_LOW,MAC Address29 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3F0++0x03 line.long 0x00 "MAC_ADDRESS30_HIGH,MAC Address30 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3F4++0x03 line.long 0x00 "MAC_ADDRESS30_LOW,MAC Address30 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x3F8++0x03 line.long 0x00 "MAC_ADDRESS31_HIGH,MAC Address31 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 30. "SA,Source Address When this bit is set the MAC ADDRESS1[47:0] is used to compare with the SA fields of the received packet" "0: Compare with Destination Address,1: Compare with Source Address" newline bitfld.long 0x00 24.--29. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--20. "DCS,DMA Channel Select If the PDC bit of MAC_EXT_CONFIGURATION register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address" group.long 0x3FC++0x03 line.long 0x00 "MAC_ADDRESS31_LOW,MAC Address31 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address" group.long 0x400++0x03 line.long 0x00 "MAC_ADDRESS32_HIGH,MAC Address32 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x404++0x03 line.long 0x00 "MAC_ADDRESS32_LOW,MAC Address32 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x408++0x03 line.long 0x00 "MAC_ADDRESS33_HIGH,MAC Address33 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x40C++0x03 line.long 0x00 "MAC_ADDRESS33_LOW,MAC Address33 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x410++0x03 line.long 0x00 "MAC_ADDRESS34_HIGH,MAC Address34 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x414++0x03 line.long 0x00 "MAC_ADDRESS34_LOW,MAC Address34 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x418++0x03 line.long 0x00 "MAC_ADDRESS35_HIGH,MAC Address35 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x41C++0x03 line.long 0x00 "MAC_ADDRESS35_LOW,MAC Address35 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x420++0x03 line.long 0x00 "MAC_ADDRESS36_HIGH,MAC Address36 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x424++0x03 line.long 0x00 "MAC_ADDRESS36_LOW,MAC Address36 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x428++0x03 line.long 0x00 "MAC_ADDRESS37_HIGH,MAC Address37 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x42C++0x03 line.long 0x00 "MAC_ADDRESS37_LOW,MAC Address37 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x430++0x03 line.long 0x00 "MAC_ADDRESS38_HIGH,MAC Address38 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x434++0x03 line.long 0x00 "MAC_ADDRESS38_LOW,MAC Address38 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x438++0x03 line.long 0x00 "MAC_ADDRESS39_HIGH,MAC Address39 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x43C++0x03 line.long 0x00 "MAC_ADDRESS39_LOW,MAC Address39 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x440++0x03 line.long 0x00 "MAC_ADDRESS40_HIGH,MAC Address40 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x444++0x03 line.long 0x00 "MAC_ADDRESS40_LOW,MAC Address40 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x448++0x03 line.long 0x00 "MAC_ADDRESS41_HIGH,MAC Address41 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x44C++0x03 line.long 0x00 "MAC_ADDRESS41_LOW,MAC Address41 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x450++0x03 line.long 0x00 "MAC_ADDRESS42_HIGH,MAC Address42 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x454++0x03 line.long 0x00 "MAC_ADDRESS42_LOW,MAC Address42 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x458++0x03 line.long 0x00 "MAC_ADDRESS43_HIGH,MAC Address43 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x45C++0x03 line.long 0x00 "MAC_ADDRESS43_LOW,MAC Address43 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x460++0x03 line.long 0x00 "MAC_ADDRESS44_HIGH,MAC Address44 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x464++0x03 line.long 0x00 "MAC_ADDRESS44_LOW,MAC Address44 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x468++0x03 line.long 0x00 "MAC_ADDRESS45_HIGH,MAC Address45 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x46C++0x03 line.long 0x00 "MAC_ADDRESS45_LOW,MAC Address45 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x470++0x03 line.long 0x00 "MAC_ADDRESS46_HIGH,MAC Address46 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x474++0x03 line.long 0x00 "MAC_ADDRESS46_LOW,MAC Address46 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x478++0x03 line.long 0x00 "MAC_ADDRESS47_HIGH,MAC Address47 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x47C++0x03 line.long 0x00 "MAC_ADDRESS47_LOW,MAC Address47 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x480++0x03 line.long 0x00 "MAC_ADDRESS48_HIGH,MAC Address48 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x484++0x03 line.long 0x00 "MAC_ADDRESS48_LOW,MAC Address48 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x488++0x03 line.long 0x00 "MAC_ADDRESS49_HIGH,MAC Address49 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x48C++0x03 line.long 0x00 "MAC_ADDRESS49_LOW,MAC Address49 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x490++0x03 line.long 0x00 "MAC_ADDRESS50_HIGH,MAC Address50 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x494++0x03 line.long 0x00 "MAC_ADDRESS50_LOW,MAC Address50 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x498++0x03 line.long 0x00 "MAC_ADDRESS51_HIGH,MAC Address51 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x49C++0x03 line.long 0x00 "MAC_ADDRESS51_LOW,MAC Address51 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4A0++0x03 line.long 0x00 "MAC_ADDRESS52_HIGH,MAC Address52 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4A4++0x03 line.long 0x00 "MAC_ADDRESS52_LOW,MAC Address52 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4A8++0x03 line.long 0x00 "MAC_ADDRESS53_HIGH,MAC Address53 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4AC++0x03 line.long 0x00 "MAC_ADDRESS53_LOW,MAC Address53 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4B0++0x03 line.long 0x00 "MAC_ADDRESS54_HIGH,MAC Address54 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4B4++0x03 line.long 0x00 "MAC_ADDRESS54_LOW,MAC Address54 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4B8++0x03 line.long 0x00 "MAC_ADDRESS55_HIGH,MAC Address55 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4BC++0x03 line.long 0x00 "MAC_ADDRESS55_LOW,MAC Address55 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4C0++0x03 line.long 0x00 "MAC_ADDRESS56_HIGH,MAC Address56 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4C4++0x03 line.long 0x00 "MAC_ADDRESS56_LOW,MAC Address56 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4C8++0x03 line.long 0x00 "MAC_ADDRESS57_HIGH,MAC Address57 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4CC++0x03 line.long 0x00 "MAC_ADDRESS57_LOW,MAC Address57 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4D0++0x03 line.long 0x00 "MAC_ADDRESS58_HIGH,MAC Address58 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4D4++0x03 line.long 0x00 "MAC_ADDRESS58_LOW,MAC Address58 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4D8++0x03 line.long 0x00 "MAC_ADDRESS59_HIGH,MAC Address59 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4DC++0x03 line.long 0x00 "MAC_ADDRESS59_LOW,MAC Address59 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4E0++0x03 line.long 0x00 "MAC_ADDRESS60_HIGH,MAC Address60 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4E4++0x03 line.long 0x00 "MAC_ADDRESS60_LOW,MAC Address60 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4E8++0x03 line.long 0x00 "MAC_ADDRESS61_HIGH,MAC Address61 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4EC++0x03 line.long 0x00 "MAC_ADDRESS61_LOW,MAC Address61 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4F0++0x03 line.long 0x00 "MAC_ADDRESS62_HIGH,MAC Address62 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4F4++0x03 line.long 0x00 "MAC_ADDRESS62_LOW,MAC Address62 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x4F8++0x03 line.long 0x00 "MAC_ADDRESS63_HIGH,MAC Address63 High" bitfld.long 0x00 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering" "0: Address is ignored,1: Address is enabled" newline bitfld.long 0x00 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC ADDRESS32 content is routed" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--15. 1. "ADDRHI,MAC ADDRESS32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address" group.long 0x4FC++0x03 line.long 0x00 "MAC_ADDRESS63_LOW,MAC Address63 Low" hexmask.long 0x00 0.--31. 1. "ADDRLO,MAC ADDRESS32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address" group.long 0x700++0x03 line.long 0x00 "MAC_MMC_CONTROL,MMC Control" bitfld.long 0x00 8. "UCDBC,Update MMC Counters for Dropped Broadcast Packets Note: The CNTRST bit has a higher priority than the CNTPRST bit" "0: Update MMC Counters for Dropped Broadcast..,1: Update MMC Counters for Dropped Broadcast.." newline bitfld.long 0x00 5. "CNTPRSTLVL,Full-Half Preset When this bit is low and the CNTPRST bit is set all MMC counters get preset to almost-half value" "0: Full-Half Preset is disabled,1: Full-Half Preset is enabled" newline bitfld.long 0x00 4. "CNTPRST,Counters Preset When this bit is set all counters are initialized or preset to almost full or almost half according to the CNTPRSTLVL bit" "0: Counters Preset is disabled,1: Counters Preset is enabled" newline bitfld.long 0x00 3. "CNTFREEZ,MMC Counter Freeze When this bit is set it freezes all MMC counters to their current value" "0: MMC Counter Freeze is disabled,1: MMC Counter Freeze is enabled" newline bitfld.long 0x00 2. "RSTONRD,Reset on Read When this bit is set the MMC counters are reset to zero after Read (self-clearing after reset)" "0: Reset on Read is disabled,1: Reset on Read is enabled" newline bitfld.long 0x00 1. "CNTSTOPRO,Counter Stop Rollover When this bit is set the counter does not roll over to zero after reaching the maximum value" "0: Counter Stop Rollover is disabled,1: Counter Stop Rollover is enabled" newline bitfld.long 0x00 0. "CNTRST,Counters Reset When this bit is set all counters are reset" "0: Counters are not reset,1: All counters are reset" rgroup.long 0x704++0x03 line.long 0x00 "MAC_MMC_RX_INTERRUPT,MMC Rx Interrupt" bitfld.long 0x00 27. "RXLPITRCIS,MMC Receive LPI transition counter interrupt status This bit is set when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Receive LPI transition Counter Interrupt..,1: MMC Receive LPI transition Counter Interrupt.." newline bitfld.long 0x00 26. "RXLPIUSCIS,MMC Receive LPI microsecond counter interrupt status This bit is set when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Receive LPI microsecond Counter Interrupt..,1: MMC Receive LPI microsecond Counter Interrupt.." newline bitfld.long 0x00 25. "RXCTRLPIS,MMC Receive Control Packet Counter Interrupt Status This bit is set when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Control Packet Counter Interrupt..,1: MMC Receive Control Packet Counter Interrupt.." newline bitfld.long 0x00 24. "RXRCVERRPIS,MMC Receive Error Packet Counter Interrupt Status This bit is set when the rxrcverror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Error Packet Counter Interrupt..,1: MMC Receive Error Packet Counter Interrupt.." newline bitfld.long 0x00 23. "RXWDOGPIS,MMC Receive Watchdog Error Packet Counter Interrupt Status This bit is set when the rxwatchdog error counter reaches half of the maximum value or the maximum value" "0: MMC Receive Watchdog Error Packet Counter..,1: MMC Receive Watchdog Error Packet Counter.." newline bitfld.long 0x00 22. "RXVLANGBPIS,MMC Receive VLAN Good Bad Packet Counter Interrupt Status This bit is set when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive VLAN Good Bad Packet Counter..,1: MMC Receive VLAN Good Bad Packet Counter.." newline bitfld.long 0x00 21. "RXFOVPIS,MMC Receive FIFO Overflow Packet Counter Interrupt Status This bit is set when the rxfifooverflow counter reaches half of the maximum value or the maximum value" "0: MMC Receive FIFO Overflow Packet Counter..,1: MMC Receive FIFO Overflow Packet Counter.." newline bitfld.long 0x00 20. "RXPAUSPIS,MMC Receive Pause Packet Counter Interrupt Status This bit is set when the rxpausepackets counter reaches half of the maximum value or the maximum value" "0: MMC Receive Pause Packet Counter Interrupt..,1: MMC Receive Pause Packet Counter Interrupt.." newline bitfld.long 0x00 19. "RXORANGEPIS,MMC Receive Out Of Range Error Packet Counter Interrupt Status" "0: MMC Receive Out Of Range Error Packet Counter..,1: MMC Receive Out Of Range Error Packet Counter.." newline bitfld.long 0x00 18. "RXLENERPIS,MMC Receive Length Error Packet Counter Interrupt Status This bit is set when the rxlengtherror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Length Error Packet Counter..,1: MMC Receive Length Error Packet Counter.." newline bitfld.long 0x00 17. "RXUCGPIS,MMC Receive Unicast Good Packet Counter Interrupt Status This bit is set when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Unicast Good Packet Counter..,1: MMC Receive Unicast Good Packet Counter.." newline bitfld.long 0x00 16. "RX1024TMAXOCTGBPIS,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 1024 to Maximum Octet Good Bad..,1: MMC Receive 1024 to Maximum Octet Good Bad.." newline bitfld.long 0x00 15. "RX512T1023OCTGBPIS,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 512 to 1023 Octet Good Bad Packet..,1: MMC Receive 512 to 1023 Octet Good Bad Packet.." newline bitfld.long 0x00 14. "RX256T511OCTGBPIS,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 256 to 511 Octet Good Bad Packet..,1: MMC Receive 256 to 511 Octet Good Bad Packet.." newline bitfld.long 0x00 13. "RX128T255OCTGBPIS,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 128 to 255 Octet Good Bad Packet..,1: MMC Receive 128 to 255 Octet Good Bad Packet.." newline bitfld.long 0x00 12. "RX65T127OCTGBPIS,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 65 to 127 Octet Good Bad Packet..,1: MMC Receive 65 to 127 Octet Good Bad Packet.." newline bitfld.long 0x00 11. "RX64OCTGBPIS,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx64octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 64 Octet Good Bad Packet Counter..,1: MMC Receive 64 Octet Good Bad Packet Counter.." newline bitfld.long 0x00 10. "RXOSIZEGPIS,MMC Receive Oversize Good Packet Counter Interrupt Status This bit is set when the rxoversize_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Oversize Good Packet Counter..,1: MMC Receive Oversize Good Packet Counter.." newline bitfld.long 0x00 9. "RXUSIZEGPIS,MMC Receive Undersize Good Packet Counter Interrupt Status This bit is set when the rxundersize_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Undersize Good Packet Counter..,1: MMC Receive Undersize Good Packet Counter.." newline bitfld.long 0x00 8. "RXJABERPIS,MMC Receive Jabber Error Packet Counter Interrupt Status This bit is set when the rxjabbererror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Jabber Error Packet Counter..,1: MMC Receive Jabber Error Packet Counter.." newline bitfld.long 0x00 7. "RXRUNTPIS,MMC Receive Runt Packet Counter Interrupt Status This bit is set when the rxrunterror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Runt Packet Counter Interrupt..,1: MMC Receive Runt Packet Counter Interrupt.." newline bitfld.long 0x00 6. "RXALGNERPIS,MMC Receive Alignment Error Packet Counter Interrupt Status This bit is set when the rxalignmenterror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Alignment Error Packet Counter..,1: MMC Receive Alignment Error Packet Counter.." newline bitfld.long 0x00 5. "RXCRCERPIS,MMC Receive CRC Error Packet Counter Interrupt Status This bit is set when the rxcrcerror counter reaches half of the maximum value or the maximum value" "0: MMC Receive CRC Error Packet Counter..,1: MMC Receive CRC Error Packet Counter.." newline bitfld.long 0x00 4. "RXMCGPIS,MMC Receive Multicast Good Packet Counter Interrupt Status This bit is set when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Multicast Good Packet Counter..,1: MMC Receive Multicast Good Packet Counter.." newline bitfld.long 0x00 3. "RXBCGPIS,MMC Receive Broadcast Good Packet Counter Interrupt Status This bit is set when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Broadcast Good Packet Counter..,1: MMC Receive Broadcast Good Packet Counter.." newline bitfld.long 0x00 2. "RXGOCTIS,MMC Receive Good Octet Counter Interrupt Status This bit is set when the rxoctetcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Octet Counter Interrupt..,1: MMC Receive Good Octet Counter Interrupt.." newline bitfld.long 0x00 1. "RXGBOCTIS,MMC Receive Good Bad Octet Counter Interrupt Status This bit is set when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Bad Octet Counter Interrupt..,1: MMC Receive Good Bad Octet Counter Interrupt.." newline bitfld.long 0x00 0. "RXGBPKTIS,MMC Receive Good Bad Packet Counter Interrupt Status This bit is set when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Bad Packet Counter Interrupt..,1: MMC Receive Good Bad Packet Counter Interrupt.." rgroup.long 0x708++0x03 line.long 0x00 "MAC_MMC_TX_INTERRUPT,MMC Tx Interrupt" bitfld.long 0x00 27. "TXLPITRCIS,MMC Transmit LPI transition counter interrupt status This bit is set when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit LPI transition Counter Interrupt..,1: MMC Transmit LPI transition Counter Interrupt.." newline bitfld.long 0x00 26. "TXLPIUSCIS,MMC Transmit LPI microsecond counter interrupt status This bit is set when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit LPI microsecond Counter..,1: MMC Transmit LPI microsecond Counter.." newline bitfld.long 0x00 25. "TXOSIZEGPIS,MMC Transmit Oversize Good Packet Counter Interrupt Status This bit is set when the txoversize_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Oversize Good Packet Counter..,1: MMC Transmit Oversize Good Packet Counter.." newline bitfld.long 0x00 24. "TXVLANGPIS,MMC Transmit VLAN Good Packet Counter Interrupt Status This bit is set when the txvlanpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit VLAN Good Packet Counter..,1: MMC Transmit VLAN Good Packet Counter.." newline bitfld.long 0x00 23. "TXPAUSPIS,MMC Transmit Pause Packet Counter Interrupt Status This bit is set when the txpausepacketserror counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Pause Packet Counter Interrupt..,1: MMC Transmit Pause Packet Counter Interrupt.." newline bitfld.long 0x00 22. "TXEXDEFPIS,MMC Transmit Excessive Deferral Packet Counter Interrupt Status This bit is set when the txexcessdef counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Excessive Deferral Packet..,1: MMC Transmit Excessive Deferral Packet.." newline bitfld.long 0x00 21. "TXGPKTIS,MMC Transmit Good Packet Counter Interrupt Status This bit is set when the txpacketcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Packet Counter Interrupt..,1: MMC Transmit Good Packet Counter Interrupt.." newline bitfld.long 0x00 20. "TXGOCTIS,MMC Transmit Good Octet Counter Interrupt Status This bit is set when the txoctetcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Octet Counter Interrupt..,1: MMC Transmit Good Octet Counter Interrupt.." newline bitfld.long 0x00 19. "TXCARERPIS,MMC Transmit Carrier Error Packet Counter Interrupt Status This bit is set when the txcarriererror counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Carrier Error Packet Counter..,1: MMC Transmit Carrier Error Packet Counter.." newline bitfld.long 0x00 18. "TXEXCOLPIS,MMC Transmit Excessive Collision Packet Counter Interrupt Status This bit is set when the txexesscol counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Excessive Collision Packet..,1: MMC Transmit Excessive Collision Packet.." newline bitfld.long 0x00 17. "TXLATCOLPIS,MMC Transmit Late Collision Packet Counter Interrupt Status This bit is set when the txlatecol counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Late Collision Packet Counter..,1: MMC Transmit Late Collision Packet Counter.." newline bitfld.long 0x00 16. "TXDEFPIS,MMC Transmit Deferred Packet Counter Interrupt Status This bit is set when the txdeferred counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Deferred Packet Counter..,1: MMC Transmit Deferred Packet Counter.." newline bitfld.long 0x00 15. "TXMCOLGPIS,MMC Transmit Multiple Collision Good Packet Counter Interrupt Status This bit is set when the txmulticol_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multiple Collision Good Packet..,1: MMC Transmit Multiple Collision Good Packet.." newline bitfld.long 0x00 14. "TXSCOLGPIS,MMC Transmit Single Collision Good Packet Counter Interrupt Status This bit is set when the txsinglecol_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Single Collision Good Packet..,1: MMC Transmit Single Collision Good Packet.." newline bitfld.long 0x00 13. "TXUFLOWERPIS,MMC Transmit Underflow Error Packet Counter Interrupt Status This bit is set when the txunderflowerror counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Underflow Error Packet Counter..,1: MMC Transmit Underflow Error Packet Counter.." newline bitfld.long 0x00 12. "TXBCGBPIS,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Broadcast Good Bad Packet..,1: MMC Transmit Broadcast Good Bad Packet.." newline bitfld.long 0x00 11. "TXMCGBPIS,MMC Transmit Multicast Good Bad Packet Counter Interrupt Status The bit is set when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multicast Good Bad Packet..,1: MMC Transmit Multicast Good Bad Packet.." newline bitfld.long 0x00 10. "TXUCGBPIS,MMC Transmit Unicast Good Bad Packet Counter Interrupt Status This bit is set when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Unicast Good Bad Packet Counter..,1: MMC Transmit Unicast Good Bad Packet Counter.." newline bitfld.long 0x00 9. "TX1024TMAXOCTGBPIS,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 1024 to Maximum Octet Good Bad..,1: MMC Transmit 1024 to Maximum Octet Good Bad.." newline bitfld.long 0x00 8. "TX512T1023OCTGBPIS,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 512 to 1023 Octet Good Bad..,1: MMC Transmit 512 to 1023 Octet Good Bad.." newline bitfld.long 0x00 7. "TX256T511OCTGBPIS,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 256 to 511 Octet Good Bad Packet..,1: MMC Transmit 256 to 511 Octet Good Bad Packet.." newline bitfld.long 0x00 6. "TX128T255OCTGBPIS,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 128 to 255 Octet Good Bad Packet..,1: MMC Transmit 128 to 255 Octet Good Bad Packet.." newline bitfld.long 0x00 5. "TX65T127OCTGBPIS,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx65to127octets_gb counter reaches half the maximum value and also when it reaches the maximum value" "0: MMC Transmit 65 to 127 Octet Good Bad Packet..,1: MMC Transmit 65 to 127 Octet Good Bad Packet.." newline bitfld.long 0x00 4. "TX64OCTGBPIS,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx64octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 64 Octet Good Bad Packet Counter..,1: MMC Transmit 64 Octet Good Bad Packet Counter.." newline bitfld.long 0x00 3. "TXMCGPIS,MMC Transmit Multicast Good Packet Counter Interrupt Status This bit is set when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multicast Good Packet Counter..,1: MMC Transmit Multicast Good Packet Counter.." newline bitfld.long 0x00 2. "TXBCGPIS,MMC Transmit Broadcast Good Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Broadcast Good Packet Counter..,1: MMC Transmit Broadcast Good Packet Counter.." newline bitfld.long 0x00 1. "TXGBPKTIS,MMC Transmit Good Bad Packet Counter Interrupt Status This bit is set when the txpacketcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Bad Packet Counter..,1: MMC Transmit Good Bad Packet Counter.." newline bitfld.long 0x00 0. "TXGBOCTIS,MMC Transmit Good Bad Octet Counter Interrupt Status This bit is set when the txoctetcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Bad Octet Counter Interrupt..,1: MMC Transmit Good Bad Octet Counter Interrupt.." group.long 0x70C++0x03 line.long 0x00 "MAC_MMC_RX_INTERRUPT_MASK,MMC Rx Interrupt Mask" bitfld.long 0x00 27. "RXLPITRCIM,MMC Receive LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Receive LPI transition counter interrupt..,1: MMC Receive LPI transition counter interrupt.." newline bitfld.long 0x00 26. "RXLPIUSCIM,MMC Receive LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Receive LPI microsecond counter interrupt..,1: MMC Receive LPI microsecond counter interrupt.." newline bitfld.long 0x00 25. "RXCTRLPIM,MMC Receive Control Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Control Packet Counter Interrupt..,1: MMC Receive Control Packet Counter Interrupt.." newline bitfld.long 0x00 24. "RXRCVERRPIM,MMC Receive Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrcverror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Error Packet Counter Interrupt..,1: MMC Receive Error Packet Counter Interrupt.." newline bitfld.long 0x00 23. "RXWDOGPIM,MMC Receive Watchdog Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxwatchdog counter reaches half of the maximum value or the maximum value" "0: MMC Receive Watchdog Error Packet Counter..,1: MMC Receive Watchdog Error Packet Counter.." newline bitfld.long 0x00 22. "RXVLANGBPIM,MMC Receive VLAN Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive VLAN Good Bad Packet Counter..,1: MMC Receive VLAN Good Bad Packet Counter.." newline bitfld.long 0x00 21. "RXFOVPIM,MMC Receive FIFO Overflow Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxfifooverflow counter reaches half of the maximum value or the maximum value" "0: MMC Receive FIFO Overflow Packet Counter..,1: MMC Receive FIFO Overflow Packet Counter.." newline bitfld.long 0x00 20. "RXPAUSPIM,MMC Receive Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpausepackets counter reaches half of the maximum value or the maximum value" "0: MMC Receive Pause Packet Counter Interrupt..,1: MMC Receive Pause Packet Counter Interrupt.." newline bitfld.long 0x00 19. "RXORANGEPIM,MMC Receive Out Of Range Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoutofrangetype counter reaches half of the maximum value or the maximum value" "0: MMC Receive Out Of Range Error Packet Counter..,1: MMC Receive Out Of Range Error Packet Counter.." newline bitfld.long 0x00 18. "RXLENERPIM,MMC Receive Length Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxlengtherror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Length Error Packet Counter..,1: MMC Receive Length Error Packet Counter.." newline bitfld.long 0x00 17. "RXUCGPIM,MMC Receive Unicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Unicast Good Packet Counter..,1: MMC Receive Unicast Good Packet Counter.." newline bitfld.long 0x00 16. "RX1024TMAXOCTGBPIM,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask" "0: MMC Receive 1024 to Maximum Octet Good Bad..,1: MMC Receive 1024 to Maximum Octet Good Bad.." newline bitfld.long 0x00 15. "RX512T1023OCTGBPIM,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 512 to 1023 Octet Good Bad Packet..,1: MMC Receive 512 to 1023 Octet Good Bad Packet.." newline bitfld.long 0x00 14. "RX256T511OCTGBPIM,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 256 to 511 Octet Good Bad Packet..,1: MMC Receive 256 to 511 Octet Good Bad Packet.." newline bitfld.long 0x00 13. "RX128T255OCTGBPIM,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 128 to 255 Octet Good Bad Packet..,1: MMC Receive 128 to 255 Octet Good Bad Packet.." newline bitfld.long 0x00 12. "RX65T127OCTGBPIM,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 65 to 127 Octet Good Bad Packet..,1: MMC Receive 65 to 127 Octet Good Bad Packet.." newline bitfld.long 0x00 11. "RX64OCTGBPIM,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx64octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive 64 Octet Good Bad Packet Counter..,1: MMC Receive 64 Octet Good Bad Packet Counter.." newline bitfld.long 0x00 10. "RXOSIZEGPIM,MMC Receive Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoversize_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Oversize Good Packet Counter..,1: MMC Receive Oversize Good Packet Counter.." newline bitfld.long 0x00 9. "RXUSIZEGPIM,MMC Receive Undersize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxundersize_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Undersize Good Packet Counter..,1: MMC Receive Undersize Good Packet Counter.." newline bitfld.long 0x00 8. "RXJABERPIM,MMC Receive Jabber Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxjabbererror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Jabber Error Packet Counter..,1: MMC Receive Jabber Error Packet Counter.." newline bitfld.long 0x00 7. "RXRUNTPIM,MMC Receive Runt Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrunterror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Runt Packet Counter Interrupt..,1: MMC Receive Runt Packet Counter Interrupt.." newline bitfld.long 0x00 6. "RXALGNERPIM,MMC Receive Alignment Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxalignmenterror counter reaches half of the maximum value or the maximum value" "0: MMC Receive Alignment Error Packet Counter..,1: MMC Receive Alignment Error Packet Counter.." newline bitfld.long 0x00 5. "RXCRCERPIM,MMC Receive CRC Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxcrcerror counter reaches half of the maximum value or the maximum value" "0: MMC Receive CRC Error Packet Counter..,1: MMC Receive CRC Error Packet Counter.." newline bitfld.long 0x00 4. "RXMCGPIM,MMC Receive Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Multicast Good Packet Counter..,1: MMC Receive Multicast Good Packet Counter.." newline bitfld.long 0x00 3. "RXBCGPIM,MMC Receive Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Broadcast Good Packet Counter..,1: MMC Receive Broadcast Good Packet Counter.." newline bitfld.long 0x00 2. "RXGOCTIM,MMC Receive Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Octet Counter Interrupt Mask..,1: MMC Receive Good Octet Counter Interrupt Mask.." newline bitfld.long 0x00 1. "RXGBOCTIM,MMC Receive Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Bad Octet Counter Interrupt..,1: MMC Receive Good Bad Octet Counter Interrupt.." newline bitfld.long 0x00 0. "RXGBPKTIM,MMC Receive Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Receive Good Bad Packet Counter Interrupt..,1: MMC Receive Good Bad Packet Counter Interrupt.." group.long 0x710++0x03 line.long 0x00 "MAC_MMC_TX_INTERRUPT_MASK,MMC Tx Interrupt Mask" bitfld.long 0x00 27. "TXLPITRCIM,MMC Transmit LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit LPI transition counter interrupt..,1: MMC Transmit LPI transition counter interrupt.." newline bitfld.long 0x00 26. "TXLPIUSCIM,MMC Transmit LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit LPI microsecond counter..,1: MMC Transmit LPI microsecond counter.." newline bitfld.long 0x00 25. "TXOSIZEGPIM,MMC Transmit Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txoversize_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Oversize Good Packet Counter..,1: MMC Transmit Oversize Good Packet Counter.." newline bitfld.long 0x00 24. "TXVLANGPIM,MMC Transmit VLAN Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txvlanpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit VLAN Good Packet Counter..,1: MMC Transmit VLAN Good Packet Counter.." newline bitfld.long 0x00 23. "TXPAUSPIM,MMC Transmit Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpausepackets counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Pause Packet Counter Interrupt..,1: MMC Transmit Pause Packet Counter Interrupt.." newline bitfld.long 0x00 22. "TXEXDEFPIM,MMC Transmit Excessive Deferral Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcessdef counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Excessive Deferral Packet..,1: MMC Transmit Excessive Deferral Packet.." newline bitfld.long 0x00 21. "TXGPKTIM,MMC Transmit Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Packet Counter Interrupt..,1: MMC Transmit Good Packet Counter Interrupt.." newline bitfld.long 0x00 20. "TXGOCTIM,MMC Transmit Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Octet Counter Interrupt..,1: MMC Transmit Good Octet Counter Interrupt.." newline bitfld.long 0x00 19. "TXCARERPIM,MMC Transmit Carrier Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txcarriererror counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Carrier Error Packet Counter..,1: MMC Transmit Carrier Error Packet Counter.." newline bitfld.long 0x00 18. "TXEXCOLPIM,MMC Transmit Excessive Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcesscol counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Excessive Collision Packet..,1: MMC Transmit Excessive Collision Packet.." newline bitfld.long 0x00 17. "TXLATCOLPIM,MMC Transmit Late Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txlatecol counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Late Collision Packet Counter..,1: MMC Transmit Late Collision Packet Counter.." newline bitfld.long 0x00 16. "TXDEFPIM,MMC Transmit Deferred Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txdeferred counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Deferred Packet Counter..,1: MMC Transmit Deferred Packet Counter.." newline bitfld.long 0x00 15. "TXMCOLGPIM,MMC Transmit Multiple Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticol_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multiple Collision Good Packet..,1: MMC Transmit Multiple Collision Good Packet.." newline bitfld.long 0x00 14. "TXSCOLGPIM,MMC Transmit Single Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txsinglecol_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Single Collision Good Packet..,1: MMC Transmit Single Collision Good Packet.." newline bitfld.long 0x00 13. "TXUFLOWERPIM,MMC Transmit Underflow Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunderflowerror counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Underflow Error Packet Counter..,1: MMC Transmit Underflow Error Packet Counter.." newline bitfld.long 0x00 12. "TXBCGBPIM,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Broadcast Good Bad Packet..,1: MMC Transmit Broadcast Good Bad Packet.." newline bitfld.long 0x00 11. "TXMCGBPIM,MMC Transmit Multicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multicast Good Bad Packet..,1: MMC Transmit Multicast Good Bad Packet.." newline bitfld.long 0x00 10. "TXUCGBPIM,MMC Transmit Unicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Unicast Good Bad Packet Counter..,1: MMC Transmit Unicast Good Bad Packet Counter.." newline bitfld.long 0x00 9. "TX1024TMAXOCTGBPIM,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 1024 to Maximum Octet Good Bad..,1: MMC Transmit 1024 to Maximum Octet Good Bad.." newline bitfld.long 0x00 8. "TX512T1023OCTGBPIM,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 512 to 1023 Octet Good Bad..,1: MMC Transmit 512 to 1023 Octet Good Bad.." newline bitfld.long 0x00 7. "TX256T511OCTGBPIM,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 256 to 511 Octet Good Bad Packet..,1: MMC Transmit 256 to 511 Octet Good Bad Packet.." newline bitfld.long 0x00 6. "TX128T255OCTGBPIM,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 128 to 255 Octet Good Bad Packet..,1: MMC Transmit 128 to 255 Octet Good Bad Packet.." newline bitfld.long 0x00 5. "TX65T127OCTGBPIM,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx65to127octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 65 to 127 Octet Good Bad Packet..,1: MMC Transmit 65 to 127 Octet Good Bad Packet.." newline bitfld.long 0x00 4. "TX64OCTGBPIM,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx64octets_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit 64 Octet Good Bad Packet Counter..,1: MMC Transmit 64 Octet Good Bad Packet Counter.." newline bitfld.long 0x00 3. "TXMCGPIM,MMC Transmit Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Multicast Good Packet Counter..,1: MMC Transmit Multicast Good Packet Counter.." newline bitfld.long 0x00 2. "TXBCGPIM,MMC Transmit Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Broadcast Good Packet Counter..,1: MMC Transmit Broadcast Good Packet Counter.." newline bitfld.long 0x00 1. "TXGBPKTIM,MMC Transmit Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Bad Packet Counter..,1: MMC Transmit Good Bad Packet Counter.." newline bitfld.long 0x00 0. "TXGBOCTIM,MMC Transmit Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_gb counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Good Bad Octet Counter Interrupt..,1: MMC Transmit Good Bad Octet Counter Interrupt.." rgroup.long 0x714++0x03 line.long 0x00 "MAC_TX_OCTET_COUNT_GOOD_BAD,Tx Octet Count Good and Bad" hexmask.long 0x00 0.--31. 1. "TXOCTGB,Tx Octet Count Good Bad This field indicates the number of bytes transmitted exclusive of preamble and retried bytes in good and bad packets" rgroup.long 0x718++0x03 line.long 0x00 "MAC_TX_PACKET_COUNT_GOOD_BAD,Tx Packet Count Good and Bad" hexmask.long 0x00 0.--31. 1. "TXPKTGB,Tx Packet Count Good Bad This field indicates the number of good and bad packets transmitted exclusive of retried packets" rgroup.long 0x71C++0x03 line.long 0x00 "MAC_TX_BROADCAST_PACKETS_GOOD,Tx Broadcast Packets Good" hexmask.long 0x00 0.--31. 1. "TXBCASTG,Tx Broadcast Packets Good This field indicates the number of good broadcast packets transmitted" rgroup.long 0x720++0x03 line.long 0x00 "MAC_TX_MULTICAST_PACKETS_GOOD,Tx Multicast Packets Good" hexmask.long 0x00 0.--31. 1. "TXMCASTG,Tx Multicast Packets Good This field indicates the number of good multicast packets transmitted" rgroup.long 0x724++0x03 line.long 0x00 "MAC_TX_64OCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 64-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX64OCTGB,Tx 64Octets Packets Good_Bad This field indicates the number of good and bad packets transmitted with length 64 bytes exclusive of preamble and retried packets" rgroup.long 0x728++0x03 line.long 0x00 "MAC_TX_65TO127OCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 65 to 127-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX65_127OCTGB,Tx 65To127Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 65 and 127 (inclusive) bytes exclusive of preamble and retried packets" rgroup.long 0x72C++0x03 line.long 0x00 "MAC_TX_128TO255OCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 128 to 255-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX128_255OCTGB,Tx 128To255Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 128 and 255 (inclusive) bytes exclusive of preamble and retried packets" rgroup.long 0x730++0x03 line.long 0x00 "MAC_TX_256TO511OCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 256 to 511-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX256_511OCTGB,Tx 256To511Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 256 and 511 (inclusive) bytes exclusive of preamble and retried packets" rgroup.long 0x734++0x03 line.long 0x00 "MAC_TX_512TO1023OCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 512 to 1023-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX512_1023OCTGB,Tx 512To1023Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 512 and 1023 (inclusive) bytes exclusive of preamble and retried packets" rgroup.long 0x738++0x03 line.long 0x00 "MAC_TX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,Tx Good and Bad 1024 to Max-Byte Packets" hexmask.long 0x00 0.--31. 1. "TX1024_MAXOCTGB,Tx 1024ToMaxOctets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 1024 and maxsize (inclusive) bytes exclusive of preamble and retried packets" rgroup.long 0x73C++0x03 line.long 0x00 "MAC_TX_UNICAST_PACKETS_GOOD_BAD,Good and Bad Unicast Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXUCASTGB,Tx Unicast Packets Good Bad This field indicates the number of good and bad unicast packets transmitted" rgroup.long 0x740++0x03 line.long 0x00 "MAC_TX_MULTICAST_PACKETS_GOOD_BAD,Good and Bad Multicast Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXMCASTGB,Tx Multicast Packets Good Bad This field indicates the number of good and bad multicast packets transmitted" rgroup.long 0x744++0x03 line.long 0x00 "MAC_TX_BROADCAST_PACKETS_GOOD_BAD,Good and Bad Broadcast Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXBCASTGB,Tx Broadcast Packets Good Bad This field indicates the number of good and bad broadcast packets transmitted" rgroup.long 0x748++0x03 line.long 0x00 "MAC_TX_UNDERFLOW_ERROR_PACKETS,Tx Packets Aborted By Underflow Error" hexmask.long 0x00 0.--31. 1. "TXUNDRFLW,Tx Underflow Error Packets This field indicates the number of packets aborted because of packets underflow error" rgroup.long 0x74C++0x03 line.long 0x00 "MAC_TX_SINGLE_COLLISION_GOOD_PACKETS,Single Collision Good Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXSNGLCOLG,Tx Single Collision Good Packets This field indicates the number of successfully transmitted packets after a single collision in the half-duplex mode" rgroup.long 0x750++0x03 line.long 0x00 "MAC_TX_MULTIPLE_COLLISION_GOOD_PACKETS,Multiple Collision Good Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXMULTCOLG,Tx Multiple Collision Good Packets This field indicates the number of successfully transmitted packets after multiple collisions in the half-duplex mode" rgroup.long 0x754++0x03 line.long 0x00 "MAC_TX_DEFERRED_PACKETS,Deferred Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXDEFRD,Tx Deferred Packets This field indicates the number of successfully transmitted after a deferral in the half-duplex mode" rgroup.long 0x758++0x03 line.long 0x00 "MAC_TX_LATE_COLLISION_PACKETS,Late Collision Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXLATECOL,Tx Late Collision Packets This field indicates the number of packets aborted because of late collision error" rgroup.long 0x75C++0x03 line.long 0x00 "MAC_TX_EXCESSIVE_COLLISION_PACKETS,Excessive Collision Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXEXSCOL,Tx Excessive Collision Packets This field indicates the number of packets aborted because of excessive (16) collision errors" rgroup.long 0x760++0x03 line.long 0x00 "MAC_TX_CARRIER_ERROR_PACKETS,Carrier Error Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXCARR,Tx Carrier Error Packets This field indicates the number of packets aborted because of carrier sense error (no carrier or loss of carrier)" rgroup.long 0x764++0x03 line.long 0x00 "MAC_TX_OCTET_COUNT_GOOD,Bytes Transmitted in Good Packets" hexmask.long 0x00 0.--31. 1. "TXOCTG,Tx Octet Count Good This field indicates the number of bytes transmitted exclusive of preamble only in good packets" rgroup.long 0x768++0x03 line.long 0x00 "MAC_TX_PACKET_COUNT_GOOD,Good Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXPKTG,Tx Packet Count Good This field indicates the number of good packets transmitted" rgroup.long 0x76C++0x03 line.long 0x00 "MAC_TX_EXCESSIVE_DEFERRAL_ERROR,Packets Aborted By Excessive Deferral Error" hexmask.long 0x00 0.--31. 1. "TXEXSDEF,Tx Excessive Deferral Error This field indicates the number of packets aborted because of excessive deferral error (deferred for more than two max-sized packet times)" rgroup.long 0x770++0x03 line.long 0x00 "MAC_TX_PAUSE_PACKETS,Pause Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXPAUSE,Tx Pause Packets This field indicates the number of good Pause packets transmitted" rgroup.long 0x774++0x03 line.long 0x00 "MAC_TX_VLAN_PACKETS_GOOD,Good VLAN Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXVLANG,Tx VLAN Packets Good This field provides the number of good VLAN packets transmitted" rgroup.long 0x778++0x03 line.long 0x00 "MAC_TX_OSIZE_PACKETS_GOOD,Good Oversize Packets Transmitted" hexmask.long 0x00 0.--31. 1. "TXOSIZG,Tx OSize Packets Good This field indicates the number of packets transmitted without errors and with length greater than the maxsize (1 518 or 1 522 bytes for VLAN tagged packets 2000 bytes if enabled in S2KP bit of the CONFIGURATION register)" rgroup.long 0x780++0x03 line.long 0x00 "MAC_RX_PACKETS_COUNT_GOOD_BAD,Good and Bad Packets Received" hexmask.long 0x00 0.--31. 1. "RXPKTGB,Rx Packets Count Good Bad This field indicates the number of good and bad packets received" rgroup.long 0x784++0x03 line.long 0x00 "MAC_RX_OCTET_COUNT_GOOD_BAD,Bytes in Good and Bad Packets Received" hexmask.long 0x00 0.--31. 1. "RXOCTGB,Rx Octet Count Good Bad This field indicates the number of bytes received exclusive of preamble in good and bad packets" rgroup.long 0x788++0x03 line.long 0x00 "MAC_RX_OCTET_COUNT_GOOD,Bytes in Good Packets Received" hexmask.long 0x00 0.--31. 1. "RXOCTG,Rx Octet Count Good This field indicates the number of bytes received exclusive of preamble only in good packets" rgroup.long 0x78C++0x03 line.long 0x00 "MAC_RX_BROADCAST_PACKETS_GOOD,Good Broadcast Packets Received" hexmask.long 0x00 0.--31. 1. "RXBCASTG,Rx Broadcast Packets Good This field indicates the number of good broadcast packets received" rgroup.long 0x790++0x03 line.long 0x00 "MAC_RX_MULTICAST_PACKETS_GOOD,Good Multicast Packets Received" hexmask.long 0x00 0.--31. 1. "RXMCASTG,Rx Multicast Packets Good This field indicates the number of good multicast packets received" rgroup.long 0x794++0x03 line.long 0x00 "MAC_RX_CRC_ERROR_PACKETS,CRC Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXCRCERR,Rx CRC Error Packets This field indicates the number of packets received with CRC error" rgroup.long 0x798++0x03 line.long 0x00 "MAC_RX_ALIGNMENT_ERROR_PACKETS,Alignment Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXALGNERR,Rx Alignment Error Packets This field indicates the number of packets received with alignment (dribble) error" rgroup.long 0x79C++0x03 line.long 0x00 "MAC_RX_RUNT_ERROR_PACKETS,Runt Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXRUNTERR,Rx Runt Error Packets This field indicates the number of packets received with runt (length less than 64 bytes and CRC error) error" rgroup.long 0x7A0++0x03 line.long 0x00 "MAC_RX_JABBER_ERROR_PACKETS,Jabber Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXJABERR,Rx Jabber Error Packets This field indicates the number of giant packets received with length (including CRC) greater than 1 518 bytes (1 522 bytes for VLAN tagged) and with CRC error" rgroup.long 0x7A4++0x03 line.long 0x00 "MAC_RX_UNDERSIZE_PACKETS_GOOD,Good Undersize Packets Received" hexmask.long 0x00 0.--31. 1. "RXUNDERSZG,Rx Undersize Packets Good This field indicates the number of packets received with length less than 64 bytes without any errors" rgroup.long 0x7A8++0x03 line.long 0x00 "MAC_RX_OVERSIZE_PACKETS_GOOD,Good Oversize Packets Received" hexmask.long 0x00 0.--31. 1. "RXOVERSZG,Rx Oversize Packets Good This field indicates the number of packets received without errors with length greater than the maxsize (1 518 bytes or 1 522 bytes for VLAN tagged packets 2000 bytes if enabled in the S2KP bit of the MAC_CONFIGURATION.." rgroup.long 0x7AC++0x03 line.long 0x00 "MAC_RX_64OCTETS_PACKETS_GOOD_BAD,Good and Bad 64-Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX64OCTGB,Rx 64 Octets Packets Good Bad This field indicates the number of good and bad packets received with length 64 bytes exclusive of the preamble" rgroup.long 0x7B0++0x03 line.long 0x00 "MAC_RX_65TO127OCTETS_PACKETS_GOOD_BAD,Good and Bad 64-to-127 Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX65_127OCTGB,Rx 65-127 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 65 and 127 (inclusive) bytes exclusive of the preamble" rgroup.long 0x7B4++0x03 line.long 0x00 "MAC_RX_128TO255OCTETS_PACKETS_GOOD_BAD,Good and Bad 128-to-255 Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX128_255OCTGB,Rx 128-255 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 128 and 255 (inclusive) bytes exclusive of the preamble" rgroup.long 0x7B8++0x03 line.long 0x00 "MAC_RX_256TO511OCTETS_PACKETS_GOOD_BAD,Good and Bad 256-to-511 Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX256_511OCTGB,Rx 256-511 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 256 and 511 (inclusive) bytes exclusive of the preamble" rgroup.long 0x7BC++0x03 line.long 0x00 "MAC_RX_512TO1023OCTETS_PACKETS_GOOD_BAD,Good and Bad 512-to-1023 Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX512_1023OCTGB,RX 512-1023 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 512 and 1023 (inclusive) bytes exclusive of the preamble" rgroup.long 0x7C0++0x03 line.long 0x00 "MAC_RX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,Good and Bad 1024-to-Max Byte Packets Received" hexmask.long 0x00 0.--31. 1. "RX1024_MAXOCTGB,Rx 1024-Max Octets Good Bad This field indicates the number of good and bad packets received with length between 1024 and maxsize (inclusive) bytes exclusive of the preamble" rgroup.long 0x7C4++0x03 line.long 0x00 "MAC_RX_UNICAST_PACKETS_GOOD,Good Unicast Packets Received" hexmask.long 0x00 0.--31. 1. "RXUCASTG,Rx Unicast Packets Good This field indicates the number of good unicast packets received" rgroup.long 0x7C8++0x03 line.long 0x00 "MAC_RX_LENGTH_ERROR_PACKETS,Length Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXLENERR,Rx Length Error Packets This field indicates the number of packets received with length error (Length Type field not equal to packet size) for all packets with valid length field" rgroup.long 0x7CC++0x03 line.long 0x00 "MAC_RX_OUT_OF_RANGE_TYPE_PACKETS,Out-of-range Type Packets Received" hexmask.long 0x00 0.--31. 1. "RXOUTOFRNG,Rx Out of Range Type Packet This field indicates the number of packets received with length field not equal to the valid packet size (greater than 1 500 but less than 1 536)" rgroup.long 0x7D0++0x03 line.long 0x00 "MAC_RX_PAUSE_PACKETS,Pause Packets Received" hexmask.long 0x00 0.--31. 1. "RXPAUSEPKT,Rx Pause Packets This field indicates the number of good and valid Pause packets received" rgroup.long 0x7D4++0x03 line.long 0x00 "MAC_RX_FIFO_OVERFLOW_PACKETS,Missed Packets Due to FIFO Overflow" hexmask.long 0x00 0.--31. 1. "RXFIFOOVFL,Rx FIFO Overflow Packets This field indicates the number of missed received packets because of FIFO overflow" rgroup.long 0x7D8++0x03 line.long 0x00 "MAC_RX_VLAN_PACKETS_GOOD_BAD,Good and Bad VLAN Packets Received" hexmask.long 0x00 0.--31. 1. "RXVLANPKTGB,Rx VLAN Packets Good Bad This field indicates the number of good and bad VLAN packets received" rgroup.long 0x7DC++0x03 line.long 0x00 "MAC_RX_WATCHDOG_ERROR_PACKETS,Watchdog Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXWDGERR,Rx Watchdog Error Packets This field indicates the number of packets received with error because of watchdog timeout error (packets with a data load larger than 2 048 bytes (when JE and WD bits are reset in MAC_CONFIGURATION register) 10 240.." rgroup.long 0x7E0++0x03 line.long 0x00 "MAC_RX_RECEIVE_ERROR_PACKETS,Receive Error Packets Received" hexmask.long 0x00 0.--31. 1. "RXRCVERR,Rx Receive Error Packets This field indicates the number of packets received with Receive error or Packet Extension error on the GMII interface" rgroup.long 0x7E4++0x03 line.long 0x00 "MAC_RX_CONTROL_PACKETS_GOOD,Good Control Packets Received" hexmask.long 0x00 0.--31. 1. "RXCTRLG,Rx Control Packets Good This field indicates the number of good control packets received" rgroup.long 0x7EC++0x03 line.long 0x00 "MAC_TX_LPI_USEC_CNTR,Microseconds Tx LPI Asserted" hexmask.long 0x00 0.--31. 1. "TXLPIUSC,Tx LPI Microseconds Counter This field indicates the number of microseconds Tx LPI is asserted" rgroup.long 0x7F0++0x03 line.long 0x00 "MAC_TX_LPI_TRAN_CNTR,Number of Times Tx LPI Asserted" hexmask.long 0x00 0.--31. 1. "TXLPITRC,Tx LPI Transition counter This field indicates the number of times Tx LPI Entry has occurred" rgroup.long 0x7F4++0x03 line.long 0x00 "MAC_RX_LPI_USEC_CNTR,Microseconds Rx LPI Sampled" hexmask.long 0x00 0.--31. 1. "RXLPIUSC,Rx LPI Microseconds Counter This field indicates the number of microseconds Rx LPI is asserted" rgroup.long 0x7F8++0x03 line.long 0x00 "MAC_RX_LPI_TRAN_CNTR,Number of Times Rx LPI Entered" hexmask.long 0x00 0.--31. 1. "RXLPITRC,Rx LPI Transition counter This field indicates the number of times Rx LPI Entry has occurred" group.long 0x800++0x03 line.long 0x00 "MAC_MMC_IPC_RX_INTERRUPT_MASK,MMC IPC Receive Interrupt Mask" bitfld.long 0x00 29. "RXICMPEROIM,MMC Receive ICMP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Error Octet Counter..,1: MMC Receive ICMP Error Octet Counter.." newline bitfld.long 0x00 28. "RXICMPGOIM,MMC Receive ICMP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Good Octet Counter Interrupt..,1: MMC Receive ICMP Good Octet Counter Interrupt.." newline bitfld.long 0x00 27. "RXTCPEROIM,MMC Receive TCP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Error Octet Counter Interrupt..,1: MMC Receive TCP Error Octet Counter Interrupt.." newline bitfld.long 0x00 26. "RXTCPGOIM,MMC Receive TCP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Good Octet Counter Interrupt..,1: MMC Receive TCP Good Octet Counter Interrupt.." newline bitfld.long 0x00 25. "RXUDPEROIM,MMC Receive UDP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Good Octet Counter Interrupt..,1: MMC Receive UDP Good Octet Counter Interrupt.." newline bitfld.long 0x00 24. "RXUDPGOIM,MMC Receive IPV6 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 No Payload Octet Counter..,1: MMC Receive IPV6 No Payload Octet Counter.." newline bitfld.long 0x00 23. "RXIPV6NOPAYOIM,MMC Receive IPV6 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Header Error Octet Counter..,1: MMC Receive IPV6 Header Error Octet Counter.." newline bitfld.long 0x00 22. "RXIPV6HEROIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Good Octet Counter Interrupt..,1: MMC Receive IPV6 Good Octet Counter Interrupt.." newline bitfld.long 0x00 21. "RXIPV6GOIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Good Octet Counter Interrupt..,1: MMC Receive IPV6 Good Octet Counter Interrupt.." newline bitfld.long 0x00 20. "RXIPV4UDSBLOIM,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 UDP Checksum Disabled Octet..,1: MMC Receive IPV4 UDP Checksum Disabled Octet.." newline bitfld.long 0x00 19. "RXIPV4FRAGOIM,MMC Receive IPV4 Fragmented Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Fragmented Octet Counter..,1: MMC Receive IPV4 Fragmented Octet Counter.." newline bitfld.long 0x00 18. "RXIPV4NOPAYOIM,MMC Receive IPV4 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 No Payload Octet Counter..,1: MMC Receive IPV4 No Payload Octet Counter.." newline bitfld.long 0x00 17. "RXIPV4HEROIM,MMC Receive IPV4 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Header Error Octet Counter..,1: MMC Receive IPV4 Header Error Octet Counter.." newline bitfld.long 0x00 16. "RXIPV4GOIM,MMC Receive IPV4 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Good Octet Counter Interrupt..,1: MMC Receive IPV4 Good Octet Counter Interrupt.." newline bitfld.long 0x00 13. "RXICMPERPIM,MMC Receive ICMP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Error Packet Counter..,1: MMC Receive ICMP Error Packet Counter.." newline bitfld.long 0x00 12. "RXICMPGPIM,MMC Receive ICMP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Good Packet Counter..,1: MMC Receive ICMP Good Packet Counter.." newline bitfld.long 0x00 11. "RXTCPERPIM,MMC Receive TCP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Error Packet Counter..,1: MMC Receive TCP Error Packet Counter.." newline bitfld.long 0x00 10. "RXTCPGPIM,MMC Receive TCP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Good Packet Counter Interrupt..,1: MMC Receive TCP Good Packet Counter Interrupt.." newline bitfld.long 0x00 9. "RXUDPERPIM,MMC Receive UDP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Error Packet Counter..,1: MMC Receive UDP Error Packet Counter.." newline bitfld.long 0x00 8. "RXUDPGPIM,MMC Receive UDP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Good Packet Counter Interrupt..,1: MMC Receive UDP Good Packet Counter Interrupt.." newline bitfld.long 0x00 7. "RXIPV6NOPAYPIM,MMC Receive IPV6 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 No Payload Packet Counter..,1: MMC Receive IPV6 No Payload Packet Counter.." newline bitfld.long 0x00 6. "RXIPV6HERPIM,MMC Receive IPV6 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Header Error Packet Counter..,1: MMC Receive IPV6 Header Error Packet Counter.." newline bitfld.long 0x00 5. "RXIPV6GPIM,MMC Receive IPV6 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Good Packet Counter..,1: MMC Receive IPV6 Good Packet Counter.." newline bitfld.long 0x00 4. "RXIPV4UDSBLPIM,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 UDP Checksum Disabled Packet..,1: MMC Receive IPV4 UDP Checksum Disabled Packet.." newline bitfld.long 0x00 3. "RXIPV4FRAGPIM,MMC Receive IPV4 Fragmented Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Fragmented Packet Counter..,1: MMC Receive IPV4 Fragmented Packet Counter.." newline bitfld.long 0x00 2. "RXIPV4NOPAYPIM,MMC Receive IPV4 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 No Payload Packet Counter..,1: MMC Receive IPV4 No Payload Packet Counter.." newline bitfld.long 0x00 1. "RXIPV4HERPIM,MMC Receive IPV4 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Header Error Packet Counter..,1: MMC Receive IPV4 Header Error Packet Counter.." newline bitfld.long 0x00 0. "RXIPV4GPIM,MMC Receive IPV4 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Good Packet Counter..,1: MMC Receive IPV4 Good Packet Counter.." rgroup.long 0x808++0x03 line.long 0x00 "MAC_MMC_IPC_RX_INTERRUPT,MMC IPC Receive Interrupt" bitfld.long 0x00 29. "RXICMPEROIS,MMC Receive ICMP Error Octet Counter Interrupt Status This bit is set when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Error Octet Counter..,1: MMC Receive ICMP Error Octet Counter.." newline bitfld.long 0x00 28. "RXICMPGOIS,MMC Receive ICMP Good Octet Counter Interrupt Status This bit is set when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Good Octet Counter Interrupt..,1: MMC Receive ICMP Good Octet Counter Interrupt.." newline bitfld.long 0x00 27. "RXTCPEROIS,MMC Receive TCP Error Octet Counter Interrupt Status This bit is set when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Error Octet Counter Interrupt..,1: MMC Receive TCP Error Octet Counter Interrupt.." newline bitfld.long 0x00 26. "RXTCPGOIS,MMC Receive TCP Good Octet Counter Interrupt Status This bit is set when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Good Octet Counter Interrupt..,1: MMC Receive TCP Good Octet Counter Interrupt.." newline bitfld.long 0x00 25. "RXUDPEROIS,MMC Receive UDP Error Octet Counter Interrupt Status This bit is set when the rxudp_err_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Error Octet Counter Interrupt..,1: MMC Receive UDP Error Octet Counter Interrupt.." newline bitfld.long 0x00 24. "RXUDPGOIS,MMC Receive UDP Good Octet Counter Interrupt Status This bit is set when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Good Octet Counter Interrupt..,1: MMC Receive UDP Good Octet Counter Interrupt.." newline bitfld.long 0x00 23. "RXIPV6NOPAYOIS,MMC Receive IPV6 No Payload Octet Counter Interrupt Status This bit is set when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 No Payload Octet Counter..,1: MMC Receive IPV6 No Payload Octet Counter.." newline bitfld.long 0x00 22. "RXIPV6HEROIS,MMC Receive IPV6 Header Error Octet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Header Error Octet Counter..,1: MMC Receive IPV6 Header Error Octet Counter.." newline bitfld.long 0x00 21. "RXIPV6GOIS,MMC Receive IPV6 Good Octet Counter Interrupt Status This bit is set when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Good Octet Counter Interrupt..,1: MMC Receive IPV6 Good Octet Counter Interrupt.." newline bitfld.long 0x00 20. "RXIPV4UDSBLOIS,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Status This bit is set when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 UDP Checksum Disabled Octet..,1: MMC Receive IPV4 UDP Checksum Disabled Octet.." newline bitfld.long 0x00 19. "RXIPV4FRAGOIS,MMC Receive IPV4 Fragmented Octet Counter Interrupt Status This bit is set when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Fragmented Octet Counter..,1: MMC Receive IPV4 Fragmented Octet Counter.." newline bitfld.long 0x00 18. "RXIPV4NOPAYOIS,MMC Receive IPV4 No Payload Octet Counter Interrupt Status This bit is set when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 No Payload Octet Counter..,1: MMC Receive IPV4 No Payload Octet Counter.." newline bitfld.long 0x00 17. "RXIPV4HEROIS,MMC Receive IPV4 Header Error Octet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Header Error Octet Counter..,1: MMC Receive IPV4 Header Error Octet Counter.." newline bitfld.long 0x00 16. "RXIPV4GOIS,MMC Receive IPV4 Good Octet Counter Interrupt Status This bit is set when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Good Octet Counter Interrupt..,1: MMC Receive IPV4 Good Octet Counter Interrupt.." newline bitfld.long 0x00 13. "RXICMPERPIS,MMC Receive ICMP Error Packet Counter Interrupt Status This bit is set when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Error Packet Counter..,1: MMC Receive ICMP Error Packet Counter.." newline bitfld.long 0x00 12. "RXICMPGPIS,MMC Receive ICMP Good Packet Counter Interrupt Status This bit is set when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive ICMP Good Packet Counter..,1: MMC Receive ICMP Good Packet Counter.." newline bitfld.long 0x00 11. "RXTCPERPIS,MMC Receive TCP Error Packet Counter Interrupt Status This bit is set when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Error Packet Counter..,1: MMC Receive TCP Error Packet Counter.." newline bitfld.long 0x00 10. "RXTCPGPIS,MMC Receive TCP Good Packet Counter Interrupt Status This bit is set when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive TCP Good Packet Counter Interrupt..,1: MMC Receive TCP Good Packet Counter Interrupt.." newline bitfld.long 0x00 9. "RXUDPERPIS,MMC Receive UDP Error Packet Counter Interrupt Status This bit is set when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Error Packet Counter..,1: MMC Receive UDP Error Packet Counter.." newline bitfld.long 0x00 8. "RXUDPGPIS,MC Receive UDP Good Packet Counter Interrupt Status This bit is set when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive UDP Good Packet Counter Interrupt..,1: MMC Receive UDP Good Packet Counter Interrupt.." newline bitfld.long 0x00 7. "RXIPV6NOPAYPIS,MMC Receive IPV6 No Payload Packet Counter Interrupt Status This bit is set when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 No Payload Packet Counter..,1: MMC Receive IPV6 No Payload Packet Counter.." newline bitfld.long 0x00 6. "RXIPV6HERPIS,MMC Receive IPV6 Header Error Packet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Header Error Packet Counter..,1: MMC Receive IPV6 Header Error Packet Counter.." newline bitfld.long 0x00 5. "RXIPV6GPIS,MMC Receive IPV6 Good Packet Counter Interrupt Status This bit is set when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV6 Good Packet Counter..,1: MMC Receive IPV6 Good Packet Counter.." newline bitfld.long 0x00 4. "RXIPV4UDSBLPIS,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Status This bit is set when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 UDP Checksum Disabled Packet..,1: MMC Receive IPV4 UDP Checksum Disabled Packet.." newline bitfld.long 0x00 3. "RXIPV4FRAGPIS,MMC Receive IPV4 Fragmented Packet Counter Interrupt Status This bit is set when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Fragmented Packet Counter..,1: MMC Receive IPV4 Fragmented Packet Counter.." newline bitfld.long 0x00 2. "RXIPV4NOPAYPIS,MMC Receive IPV4 No Payload Packet Counter Interrupt Status This bit is set when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 No Payload Packet Counter..,1: MMC Receive IPV4 No Payload Packet Counter.." newline bitfld.long 0x00 1. "RXIPV4HERPIS,MMC Receive IPV4 Header Error Packet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Header Error Packet Counter..,1: MMC Receive IPV4 Header Error Packet Counter.." newline bitfld.long 0x00 0. "RXIPV4GPIS,MMC Receive IPV4 Good Packet Counter Interrupt Status This bit is set when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value" "0: MMC Receive IPV4 Good Packet Counter..,1: MMC Receive IPV4 Good Packet Counter.." rgroup.long 0x810++0x03 line.long 0x00 "MAC_RXIPV4_GOOD_PACKETS,Good IPv4 Datagrams Received" hexmask.long 0x00 0.--31. 1. "RXIPV4GDPKT,RxIPv4 Good Packets This field indicates the number of good IPv4 datagrams received with the TCP UDP or ICMP payload" rgroup.long 0x814++0x03 line.long 0x00 "MAC_RXIPV4_HEADER_ERROR_PACKETS,IPv4 Datagrams Received with Header Errors" hexmask.long 0x00 0.--31. 1. "RXIPV4HDRERRPKT,RxIPv4 Header Error Packets This field indicates the number of IPv4 datagrams received with header (checksum length or version mismatch) errors" rgroup.long 0x818++0x03 line.long 0x00 "MAC_RXIPV4_NO_PAYLOAD_PACKETS,IPv4 Datagrams Received with No Payload" hexmask.long 0x00 0.--31. 1. "RXIPV4NOPAYPKT,RxIPv4 Payload Packets This field indicates the number of IPv4 datagram packets received that did not have a TCP UDP or ICMP payload" rgroup.long 0x81C++0x03 line.long 0x00 "MAC_RXIPV4_FRAGMENTED_PACKETS,IPv4 Datagrams Received with Fragmentation" hexmask.long 0x00 0.--31. 1. "RXIPV4FRAGPKT,RxIPv4 Fragmented Packets This field indicates the number of good IPv4 datagrams received with fragmentation" rgroup.long 0x820++0x03 line.long 0x00 "MAC_RXIPV4_UDP_CHECKSUM_DISABLED_PACKETS,IPv4 Datagrams Received with UDP Checksum Disabled" hexmask.long 0x00 0.--31. 1. "RXIPV4UDSBLPKT,RxIPv4 UDP Checksum Disabled Packets This field indicates the number of good IPv4 datagrams received that had a UDP payload with checksum disabled" rgroup.long 0x824++0x03 line.long 0x00 "MAC_RXIPV6_GOOD_PACKETS,Good IPv6 Datagrams Received" hexmask.long 0x00 0.--31. 1. "RXIPV6GDPKT,RxIPv6 Good Packets This field indicates the number of good IPv6 datagrams received with the TCP UDP or ICMP payload" rgroup.long 0x828++0x03 line.long 0x00 "MAC_RXIPV6_HEADER_ERROR_PACKETS,IPv6 Datagrams Received with Header Errors" hexmask.long 0x00 0.--31. 1. "RXIPV6HDRERRPKT,RxIPv6 Header Error Packets This field indicates the number of IPv6 datagrams received with header (length or version mismatch) errors" rgroup.long 0x82C++0x03 line.long 0x00 "MAC_RXIPV6_NO_PAYLOAD_PACKETS,IPv6 Datagrams Received with No Payload" hexmask.long 0x00 0.--31. 1. "RXIPV6NOPAYPKT,RxIPv6 Payload Packets This field indicates the number of IPv6 datagram packets received that did not have a TCP UDP or ICMP payload" rgroup.long 0x830++0x03 line.long 0x00 "MAC_RXUDP_GOOD_PACKETS,IPv6 Datagrams Received with Good UDP" hexmask.long 0x00 0.--31. 1. "RXUDPGDPKT,RxUDP Good Packets This field indicates the number of good IP datagrams received with a good UDP payload" rgroup.long 0x834++0x03 line.long 0x00 "MAC_RXUDP_ERROR_PACKETS,IPv6 Datagrams Received with UDP Checksum Error" hexmask.long 0x00 0.--31. 1. "RXUDPERRPKT,RxUDP Error Packets This field indicates the number of good IP datagrams received whose UDP payload has a checksum error" rgroup.long 0x838++0x03 line.long 0x00 "MAC_RXTCP_GOOD_PACKETS,IPv6 Datagrams Received with Good TCP Payload" hexmask.long 0x00 0.--31. 1. "RXTCPGDPKT,RxTCP Good Packets This field indicates the number of good IP datagrams received with a good TCP payload" rgroup.long 0x83C++0x03 line.long 0x00 "MAC_RXTCP_ERROR_PACKETS,IPv6 Datagrams Received with TCP Checksum Error" hexmask.long 0x00 0.--31. 1. "RXTCPERRPKT,RxTCP Error Packets This field indicates the number of good IP datagrams received whose TCP payload has a checksum error" rgroup.long 0x840++0x03 line.long 0x00 "MAC_RXICMP_GOOD_PACKETS,IPv6 Datagrams Received with Good ICMP Payload" hexmask.long 0x00 0.--31. 1. "RXICMPGDPKT,RxICMP Good Packets This field indicates the number of good IP datagrams received with a good ICMP payload" rgroup.long 0x844++0x03 line.long 0x00 "MAC_RXICMP_ERROR_PACKETS,IPv6 Datagrams Received with ICMP Checksum Error" hexmask.long 0x00 0.--31. 1. "RXICMPERRPKT,RxICMP Error Packets This field indicates the number of good IP datagrams received whose ICMP payload has a checksum error" rgroup.long 0x850++0x03 line.long 0x00 "MAC_RXIPV4_GOOD_OCTETS,Good Bytes Received in IPv4 Datagrams" hexmask.long 0x00 0.--31. 1. "RXIPV4GDOCT,RxIPv4 Good Octets This field indicates the number of bytes received in good IPv4 datagrams encapsulating TCP UDP or ICMP data" rgroup.long 0x854++0x03 line.long 0x00 "MAC_RXIPV4_HEADER_ERROR_OCTETS,Bytes Received in IPv4 Datagrams with Header Errors" hexmask.long 0x00 0.--31. 1. "RXIPV4HDRERROCT,RxIPv4 Header Error Octets This field indicates the number of bytes received in IPv4 datagrams with header errors (checksum length version mismatch)" rgroup.long 0x858++0x03 line.long 0x00 "MAC_RXIPV4_NO_PAYLOAD_OCTETS,Bytes Received in IPv4 Datagrams with No Payload" hexmask.long 0x00 0.--31. 1. "RXIPV4NOPAYOCT,RxIPv4 Payload Octets This field indicates the number of bytes received in IPv4 datagrams that did not have a TCP UDP or ICMP payload" rgroup.long 0x85C++0x03 line.long 0x00 "MAC_RXIPV4_FRAGMENTED_OCTETS,Bytes Received in Fragmented IPv4 Datagrams" hexmask.long 0x00 0.--31. 1. "RXIPV4FRAGOCT,RxIPv4 Fragmented Octets This field indicates the number of bytes received in fragmented IPv4 datagrams" rgroup.long 0x860++0x03 line.long 0x00 "MAC_RXIPV4_UDP_CHECKSUM_DISABLE_OCTETS,Bytes Received with UDP Checksum Disabled" hexmask.long 0x00 0.--31. 1. "RXIPV4UDSBLOCT,RxIPv4 UDP Checksum Disable Octets This field indicates the number of bytes received in a UDP segment that had the UDP checksum disabled" rgroup.long 0x864++0x03 line.long 0x00 "MAC_RXIPV6_GOOD_OCTETS,Bytes Received in Good IPv6 Datagrams" hexmask.long 0x00 0.--31. 1. "RXIPV6GDOCT,RxIPv6 Good Octets This field indicates the number of bytes received in good IPv6 datagrams encapsulating TCP UDP or ICMP data" rgroup.long 0x868++0x03 line.long 0x00 "MAC_RXIPV6_HEADER_ERROR_OCTETS,Bytes Received in IPv6 Datagrams with Data Errors" hexmask.long 0x00 0.--31. 1. "RXIPV6HDRERROCT,RxIPv6 Header Error Octets This field indicates the number of bytes received in IPv6 datagrams with header errors (length version mismatch)" rgroup.long 0x86C++0x03 line.long 0x00 "MAC_RXIPV6_NO_PAYLOAD_OCTETS,Bytes Received in IPv6 Datagrams with No Payload" hexmask.long 0x00 0.--31. 1. "RXIPV6NOPAYOCT,RxIPv6 Payload Octets This field indicates the number of bytes received in IPv6 datagrams that did not have a TCP UDP or ICMP payload" rgroup.long 0x870++0x03 line.long 0x00 "MAC_RXUDP_GOOD_OCTETS,Bytes Received in Good UDP Segment" hexmask.long 0x00 0.--31. 1. "RXUDPGDOCT,RxUDP Good Octets This field indicates the number of bytes received in a good UDP segment" rgroup.long 0x874++0x03 line.long 0x00 "MAC_RXUDP_ERROR_OCTETS,Bytes Received in UDP Segment with Checksum Errors" hexmask.long 0x00 0.--31. 1. "RXUDPERROCT,RxUDP Error Octets This field indicates the number of bytes received in a UDP segment that had checksum errors" rgroup.long 0x878++0x03 line.long 0x00 "MAC_RXTCP_GOOD_OCTETS,Bytes Received in Good TCP Segment" hexmask.long 0x00 0.--31. 1. "RXTCPGDOCT,RxTCP Good Octets This field indicates the number of bytes received in a good TCP segment" rgroup.long 0x87C++0x03 line.long 0x00 "MAC_RXTCP_ERROR_OCTETS,Bytes Received in TCP Segment with Checksum Errors" hexmask.long 0x00 0.--31. 1. "RXTCPERROCT,RxTCP Error Octets This field indicates the number of bytes received in a TCP segment that had checksum errors" rgroup.long 0x880++0x03 line.long 0x00 "MAC_RXICMP_GOOD_OCTETS,Bytes Received in Good ICMP Segment" hexmask.long 0x00 0.--31. 1. "RXICMPGDOCT,RxICMP Good Octets This field indicates the number of bytes received in a good ICMP segment" rgroup.long 0x884++0x03 line.long 0x00 "MAC_RXICMP_ERROR_OCTETS,Bytes Received in ICMP Segment with Checksum Errors" hexmask.long 0x00 0.--31. 1. "RXICMPERROCT,RxICMP Error Octets This field indicates the number of bytes received in a ICMP segment that had checksum errors" rgroup.long 0x8A0++0x03 line.long 0x00 "MAC_MMC_FPE_TX_INTERRUPT,MMC FPE Transmit Interrupt" bitfld.long 0x00 1. "HRCIS,MMC Tx Hold Request Counter Interrupt Status This bit is set when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Tx Hold Request Counter Interrupt Status..,1: MMC Tx Hold Request Counter Interrupt Status.." newline bitfld.long 0x00 0. "FCIS,MMC Tx FPE Fragment Counter Interrupt status This bit is set when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Tx FPE Fragment Counter Interrupt status..,1: MMC Tx FPE Fragment Counter Interrupt status.." group.long 0x8A4++0x03 line.long 0x00 "MAC_MMC_FPE_TX_INTERRUPT_MASK,MMC FPE Transmit Mask Interrupt" bitfld.long 0x00 1. "HRCIM,MMC Transmit Hold Request Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Hold Request Counter Interrupt..,1: MMC Transmit Hold Request Counter Interrupt.." newline bitfld.long 0x00 0. "FCIM,MMC Transmit Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Transmit Fragment Counter Interrupt Mask..,1: MMC Transmit Fragment Counter Interrupt Mask.." rgroup.long 0x8A8++0x03 line.long 0x00 "MAC_MMC_TX_FPE_FRAGMENT_CNTR,MMC FPE Transmitted Fragment Counter" hexmask.long 0x00 0.--31. 1. "TXFFC,Tx FPE Fragment counter This field indicates the number of additional mPackets that has been transmitted due to preemption Exists when any one of the RX/TX MMC counters are enabled during FPE Enabled configuration" rgroup.long 0x8AC++0x03 line.long 0x00 "MAC_MMC_TX_HOLD_REQ_CNTR,MMC FPE Transmitted Hold Request Counter" hexmask.long 0x00 0.--31. 1. "TXHRC,Tx Hold Request Counter This field indicates count of number of a hold request is given to MAC" rgroup.long 0x8C0++0x03 line.long 0x00 "MAC_MMC_FPE_RX_INTERRUPT,MMC FPE Receive Interrupt" bitfld.long 0x00 3. "FCIS,MMC Rx FPE Fragment Counter Interrupt Status This bit is set when the Rx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx FPE Fragment Counter Interrupt Status..,1: MMC Rx FPE Fragment Counter Interrupt Status.." newline bitfld.long 0x00 2. "PAOCIS,MMC Rx Packet Assembly OK Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet Assembly OK Counter Interrupt..,1: MMC Rx Packet Assembly OK Counter Interrupt.." newline bitfld.long 0x00 1. "PSECIS,MMC Rx Packet SMD Error Counter Interrupt Status This bit is set when the Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet SMD Error Counter Interrupt..,1: MMC Rx Packet SMD Error Counter Interrupt.." newline bitfld.long 0x00 0. "PAECIS,MMC Rx Packet Assembly Error Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet Assembly Error Counter..,1: MMC Rx Packet Assembly Error Counter.." group.long 0x8C4++0x03 line.long 0x00 "MAC_MMC_FPE_RX_INTERRUPT_MASK,MMC FPE Receive Interrupt Mask" bitfld.long 0x00 3. "FCIM,MMC Rx FPE Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx FPE Fragment Counter Interrupt Mask is..,1: MMC Rx FPE Fragment Counter Interrupt Mask is.." newline bitfld.long 0x00 2. "PAOCIM,MMC Rx Packet Assembly OK Counter Interrupt Mask Setting this bit masks the interrupt when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet Assembly OK Counter Interrupt..,1: MMC Rx Packet Assembly OK Counter Interrupt.." newline bitfld.long 0x00 1. "PSECIM,MMC Rx Packet SMD Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet SMD Error Counter Interrupt..,1: MMC Rx Packet SMD Error Counter Interrupt.." newline bitfld.long 0x00 0. "PAECIM,MMC Rx Packet Assembly Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value" "0: MMC Rx Packet Assembly Error Counter..,1: MMC Rx Packet Assembly Error Counter.." rgroup.long 0x8C8++0x03 line.long 0x00 "MAC_MMC_RX_PACKET_ASSEMBLY_ERR_CNTR,MMC Receive Packet Reassembly Error Counter" hexmask.long 0x00 0.--31. 1. "PAEC,Rx Packet Assembly Error Counter This field indicates the number of MAC frames with reassembly errors on the Receiver due to mismatch in the Fragment Count value" rgroup.long 0x8CC++0x03 line.long 0x00 "MAC_MMC_RX_PACKET_SMD_ERR_CNTR,MMC Receive Packet SMD Error Counter" hexmask.long 0x00 0.--31. 1. "PSEC,Rx Packet SMD Error Counter This field indicates the number of MAC frames rejected due to unknown SMD value and MAC frame fragments rejected due to arriving with an SMD-C when there was no preceding preempted frame" rgroup.long 0x8D0++0x03 line.long 0x00 "MAC_MMC_RX_PACKET_ASSEMBLY_OK_CNTR,MMC Receive Packet Successful Reassembly Counter" hexmask.long 0x00 0.--31. 1. "PAOC,Rx Packet Assembly OK Counter This field indicates the number of MAC frames that were successfully reassembled and delivered to MAC" rgroup.long 0x8D4++0x03 line.long 0x00 "MAC_MMC_RX_FPE_FRAGMENT_CNTR,MMC FPE Received Fragment Counter" hexmask.long 0x00 0.--31. 1. "FFC,Rx FPE Fragment Counter This field indicates the number of additional mPackets received due to preemption Exists when at least one of the RX/TX MMC counters are enabled during FPE Enabled configuration" group.long 0x900++0x03 line.long 0x00 "MAC_L3_L4_CONTROL0,Layer 3 and Layer 4 Control of Filter 0" bitfld.long 0x00 28. "DMCHEN0,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN0,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM0,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM0,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM0,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM0,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN0,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM0,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM0,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM0,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM0,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM0,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM0,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN0,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x904++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS0,Layer 4 Address 0" hexmask.long.word 0x00 16.--31. 1. "L4DP0,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP0,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0x910++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG0,Layer 3 Address 0 Register 0" hexmask.long 0x00 0.--31. 1. "L3A00,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0x914++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG0,Layer 3 Address 1 Register 0" hexmask.long 0x00 0.--31. 1. "L3A10,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0x918++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG0,Layer 3 Address 2 Register 0" hexmask.long 0x00 0.--31. 1. "L3A20,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0x91C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG0,Layer 3 Address 3 Register 0" hexmask.long 0x00 0.--31. 1. "L3A30,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0x930++0x03 line.long 0x00 "MAC_L3_L4_CONTROL1,Layer 3 and Layer 4 Control of Filter 1" bitfld.long 0x00 28. "DMCHEN1,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN1,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM1,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM1,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM1,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM1,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN1,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM1,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM1,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM1,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM1,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM1,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM1,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN1,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x934++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS1,Layer 4 Address 0" hexmask.long.word 0x00 16.--31. 1. "L4DP1,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP1,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0x940++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG1,Layer 3 Address 0 Register 1" hexmask.long 0x00 0.--31. 1. "L3A01,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0x944++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG1,Layer 3 Address 1 Register 1" hexmask.long 0x00 0.--31. 1. "L3A11,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0x948++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG1,Layer 3 Address 2 Register 1" hexmask.long 0x00 0.--31. 1. "L3A21,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0x94C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG1,Layer 3 Address 3 Register 1" hexmask.long 0x00 0.--31. 1. "L3A31,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0x960++0x03 line.long 0x00 "MAC_L3_L4_CONTROL2,Layer 3 and Layer 4 Control of Filter 2" bitfld.long 0x00 28. "DMCHEN2,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN2,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM2,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM2,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM2,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM2,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN2,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM2,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM2,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM2,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM2,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM2,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM2,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN2,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x964++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS2,Layer 4 Address 2" hexmask.long.word 0x00 16.--31. 1. "L4DP2,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP2,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0x970++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG2,Layer 3 Address 0 Register 2" hexmask.long 0x00 0.--31. 1. "L3A02,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0x974++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG2,Layer 3 Address 0 Register 2" hexmask.long 0x00 0.--31. 1. "L3A12,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0x978++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG2,Layer 3 Address 2 Register 2" hexmask.long 0x00 0.--31. 1. "L3A22,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0x97C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG2,Layer 3 Address 3 Register 2" hexmask.long 0x00 0.--31. 1. "L3A32,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0x990++0x03 line.long 0x00 "MAC_L3_L4_CONTROL3,Layer 3 and Layer 4 Control of Filter 3" bitfld.long 0x00 28. "DMCHEN3,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN3,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM3,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM3,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM3,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM3,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN3,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM3,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM3,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM3,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM3,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM3,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM3,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN3,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x994++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS3,Layer 4 Address 3" hexmask.long.word 0x00 16.--31. 1. "L4DP3,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP3,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0x9A0++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG3,Layer 3 Address 0 Register 3" hexmask.long 0x00 0.--31. 1. "L3A03,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0x9A4++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG3,Layer 3 Address 1 Register 3" hexmask.long 0x00 0.--31. 1. "L3A13,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0x9A8++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG3,Layer 3 Address 2 Register 3" hexmask.long 0x00 0.--31. 1. "L3A23,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0x9AC++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG3,Layer 3 Address 3 Register 3" hexmask.long 0x00 0.--31. 1. "L3A33,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0x9C0++0x03 line.long 0x00 "MAC_L3_L4_CONTROL4,Layer 3 and Layer 4 Control of Filter 4" bitfld.long 0x00 28. "DMCHEN4,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN4,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM4,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM4,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM4,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM4,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN4,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM4,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM4,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM4,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM4,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM4,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM4,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN4,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x9C4++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS4,Layer 4 Address 4" hexmask.long.word 0x00 16.--31. 1. "L4DP4,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP4,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0x9D0++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG4,Layer 3 Address 0 Register 4" hexmask.long 0x00 0.--31. 1. "L3A04,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0x9D4++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG4,Layer 3 Address 1 Register 4" hexmask.long 0x00 0.--31. 1. "L3A14,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0x9D8++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG4,Layer 3 Address 2 Register 4" hexmask.long 0x00 0.--31. 1. "L3A24,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0x9DC++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG4,Layer 3 Address 3 Register 4" hexmask.long 0x00 0.--31. 1. "L3A34,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0x9F0++0x03 line.long 0x00 "MAC_L3_L4_CONTROL5,Layer 3 and Layer 4 Control of Filter 5" bitfld.long 0x00 28. "DMCHEN5,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN5,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM5,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM5,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM5,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM5,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN5,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM5,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM5,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM5,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM5,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM5,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM5,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN5,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0x9F4++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS5,Layer 4 Address 5" hexmask.long.word 0x00 16.--31. 1. "L4DP5,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP5,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0xA00++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG5,Layer 3 Address 0 Register 5" hexmask.long 0x00 0.--31. 1. "L3A05,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0xA04++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG5,Layer 3 Address 1 Register 5" hexmask.long 0x00 0.--31. 1. "L3A15,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0xA08++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG5,Layer 3 Address 2 Register 5" hexmask.long 0x00 0.--31. 1. "L3A25,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0xA0C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG5,Layer 3 Address 3 Register 5" hexmask.long 0x00 0.--31. 1. "L3A35,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0xA20++0x03 line.long 0x00 "MAC_L3_L4_CONTROL6,Layer 3 and Layer 4 Control of Filter 6" bitfld.long 0x00 28. "DMCHEN6,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN6,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM6,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM6,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM6,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM6,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN6,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM6,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM6,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM6,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM6,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM6,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM6,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN6,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0xA24++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS6,Layer 4 Address 6" hexmask.long.word 0x00 16.--31. 1. "L4DP6,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP6,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0xA30++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG6,Layer 3 Address 0 Register 6" hexmask.long 0x00 0.--31. 1. "L3A06,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0xA34++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG6,Layer 3 Address 1 Register 6" hexmask.long 0x00 0.--31. 1. "L3A16,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0xA38++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG6,Layer 3 Address 2 Register 6" hexmask.long 0x00 0.--31. 1. "L3A26,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0xA3C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG6,Layer 3 Address 3 Register 6" hexmask.long 0x00 0.--31. 1. "L3A36,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0xA50++0x03 line.long 0x00 "MAC_L3_L4_CONTROL7,Layer 3 and Layer 4 Control of Filter 0" bitfld.long 0x00 28. "DMCHEN7,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter" "0: DMA Channel Select is disabled,1: DMA Channel Select is enabled" newline bitfld.long 0x00 24.--26. "DMCHN7,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "L4DPIM7,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching" "0: Layer 4 Destination Port Inverse Match is..,1: Layer 4 Destination Port Inverse Match is.." newline bitfld.long 0x00 20. "L4DPM7,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching" "0: Layer 4 Destination Port Match is disabled,1: Layer 4 Destination Port Match is enabled" newline bitfld.long 0x00 19. "L4SPIM7,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching" "0: Layer 4 Source Port Inverse Match is disabled,1: Layer 4 Source Port Inverse Match is enabled" newline bitfld.long 0x00 18. "L4SPM7,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching" "0: Layer 4 Source Port Match is disabled,1: Layer 4 Source Port Match is enabled" newline bitfld.long 0x00 16. "L4PEN7,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching" "0: Layer 4 Protocol is disabled,1: Layer 4 Protocol is enabled" newline bitfld.long 0x00 11.--15. "L3HDBM7,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--10. "L3HSBM7,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "L3DAIM7,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching" "0: Layer 3 IP DA Inverse Match is disabled,1: Layer 3 IP DA Inverse Match is enabled" newline bitfld.long 0x00 4. "L3DAM7,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching" "0: Layer 3 IP DA Match is disabled,1: Layer 3 IP DA Match is enabled" newline bitfld.long 0x00 3. "L3SAIM7,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching" "0: Layer 3 IP SA Inverse Match is disabled,1: Layer 3 IP SA Inverse Match is enabled" newline bitfld.long 0x00 2. "L3SAM7,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching" "0: Layer 3 IP SA Match is disabled,1: Layer 3 IP SA Match is enabled" newline bitfld.long 0x00 0. "L3PEN7,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets" "0: Layer 3 Protocol is disabled,1: Layer 3 Protocol is enabled" group.long 0xA54++0x03 line.long 0x00 "MAC_LAYER4_ADDRESS7,Layer 4 Address 7" hexmask.long.word 0x00 16.--31. 1. "L4DP7,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets" newline hexmask.long.word 0x00 0.--15. 1. "L4SP7,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets" group.long 0xA60++0x03 line.long 0x00 "MAC_LAYER3_ADDR0_REG7,Layer 3 Address 0 Register 7" hexmask.long 0x00 0.--31. 1. "L3A07,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets" group.long 0xA64++0x03 line.long 0x00 "MAC_LAYER3_ADDR1_REG7,Layer 3 Address 1 Register 7" hexmask.long 0x00 0.--31. 1. "L3A17,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets" group.long 0xA68++0x03 line.long 0x00 "MAC_LAYER3_ADDR2_REG7,Layer 3 Address 2 Register 7" hexmask.long 0x00 0.--31. 1. "L3A27,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets" group.long 0xA6C++0x03 line.long 0x00 "MAC_LAYER3_ADDR3_REG7,Layer 3 Address 3 Register 7" hexmask.long 0x00 0.--31. 1. "L3A37,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_CONTROL0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets" group.long 0xA70++0x03 line.long 0x00 "MAC_INDIR_ACCESS_CTRL,MAC_INDIR_ACCESS_CTRL" bitfld.long 0x00 16.--19. "MSEL,Mode Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 8.--15. 1. "AOFF,Address Offset" newline bitfld.long 0x00 5. "AUTO,Auto increment enable" "0: AOFF is not incremented automatically,1: AOFF is incremented by 1" newline bitfld.long 0x00 1. "COM,Command type" "0: Indicates a write operation,1: Indicates a read operation" newline bitfld.long 0x00 0. "OB,Operation Busy" "0,1" group.long 0xA74++0x03 line.long 0x00 "MAC_INDIR_ACCESS_DATA,MAC_INDIR_ACCESS_DATA" hexmask.long 0x00 0.--31. 1. "DATA,This field contains data to read/write for Indirect address access associated with MAC_INDIR_ACCESS_CTRL register" group.long 0xB00++0x03 line.long 0x00 "MAC_TIMESTAMP_CONTROL,Timestamp Control" bitfld.long 0x00 28. "AV8021ASMEN,AV 802" "0: AV 802.1AS Mode is disabled,1: AV 802.1AS Mode is enabled" newline bitfld.long 0x00 24. "TXTSSTSM,Transmit Timestamp Status Mode When this bit is set the MAC overwrites the earlier transmit timestamp status even if it is not read by the software" "0: Transmit Timestamp Status Mode is disabled,1: Transmit Timestamp Status Mode is enabled" newline bitfld.long 0x00 20. "ESTI,External System Time Input When this bit is set the MAC uses the external 64-bit reference System Time input for the following: - To take the timestamp provided as status - To insert the timestamp in transmit PTP packets when One-step Timestamp or.." "0: External System Time Input is disabled,1: External System Time Input is enabled" newline bitfld.long 0x00 19. "CSC,Enable checksum correction during OST for PTP over UDP/IPv4 packets When this bit is set the last two bytes of PTP message sent over UDP/IPv4 is updated to keep the UDP checksum correct for changes made to origin timestamp and/or correction field as.." "0: checksum correction during OST for PTP over..,1: checksum correction during OST for PTP over.." newline bitfld.long 0x00 18. "TSENMACADDR,Enable MAC Address for PTP Packet Filtering When this bit is set the DA MAC address (that matches any MAC Address register) is used to filter the PTP packets when PTP is directly sent over Ethernet" "0: MAC Address for PTP Packet Filtering is..,1: MAC Address for PTP Packet Filtering is enabled" newline bitfld.long 0x00 16.--17. "SNAPTYPSEL,Select PTP packets for Taking Snapshots These bits along with Bits 15 and 14 decide the set of PTP packet types for which snapshot needs to be taken" "0,1,2,3" newline bitfld.long 0x00 15. "TSMSTRENA,Enable Snapshot for Messages Relevant to Master When this bit is set the snapshot is taken only for the messages that are relevant to the master node" "0: Snapshot for Messages Relevant to Master is..,1: Snapshot for Messages Relevant to Master is.." newline bitfld.long 0x00 14. "TSEVNTENA,Enable Timestamp Snapshot for Event Messages When this bit is set the timestamp snapshot is taken only for event messages (SYNC Delay_Req Pdelay_Req or Pdelay_Resp)" "0: Timestamp Snapshot for Event Messages is..,1: Timestamp Snapshot for Event Messages is.." newline bitfld.long 0x00 13. "TSIPV4ENA,Enable Processing of PTP Packets Sent over IPv4-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv4-UDP packets" "0: Processing of PTP Packets Sent over IPv4-UDP..,1: Processing of PTP Packets Sent over IPv4-UDP.." newline bitfld.long 0x00 12. "TSIPV6ENA,Enable Processing of PTP Packets Sent over IPv6-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv6-UDP packets" "0: Processing of PTP Packets Sent over IPv6-UDP..,1: Processing of PTP Packets Sent over IPv6-UDP.." newline bitfld.long 0x00 11. "TSIPENA,Enable Processing of PTP over Ethernet Packets When this bit is set the MAC receiver processes the PTP packets encapsulated directly in the Ethernet packets" "0: Processing of PTP over Ethernet Packets is..,1: Processing of PTP over Ethernet Packets is.." newline bitfld.long 0x00 10. "TSVER2ENA,Enable PTP Packet Processing for Version 2 Format When this bit is set the IEEE 1588 version 2 format is used to process the PTP packets" "0: PTP Packet Processing for Version 2 Format is..,1: PTP Packet Processing for Version 2 Format is.." newline bitfld.long 0x00 9. "TSCTRLSSR,Timestamp Digital or Binary Rollover Control When this bit is set the Timestamp Low register rolls over after 0x3B9A_C9FF value (that is 1 nanosecond accuracy) and increments the timestamp (High) seconds" "0: Timestamp Digital or Binary Rollover Control..,1: Timestamp Digital or Binary Rollover Control.." newline bitfld.long 0x00 8. "TSENALL,Enable Timestamp for All Packets When this bit is set the timestamp snapshot is enabled for all packets received by the MAC" "0: Timestamp for All Packets disabled,1: Timestamp for All Packets enabled" newline bitfld.long 0x00 6. "PTGE,Presentation Time Generation Enable When this bit is set the Presentation Time generation will be enabled" "0: Presentation Time Generation is disabled,1: Presentation Time Generation is enabled" newline bitfld.long 0x00 5. "TSADDREG,Update Addend Register When this bit is set the content of the Timestamp Addend register is updated in the PTP block for fine correction" "0: Addend Register is not updated,1: Addend Register is updated" newline bitfld.long 0x00 3. "TSUPDT,Update Timestamp When this bit is set the system time is updated (added or subtracted) with the value specified in MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers" "0: Timestamp is not updated,1: Timestamp is updated" newline bitfld.long 0x00 2. "TSINIT,Initialize Timestamp When this bit is set the system time is initialized (overwritten) with the value specified in the MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers" "0: Timestamp is not initialized,1: Timestamp is initialized" newline bitfld.long 0x00 1. "TSCFUPDT,Fine or Coarse Timestamp Update When this bit is set the Fine method is used to update system timestamp" "0: Coarse method is used to update system..,1: Fine method is used to update system timestamp" newline bitfld.long 0x00 0. "TSENA,Enable Timestamp When this bit is set the timestamp is added for Transmit and Receive packets" "0: Timestamp is disabled,1: Timestamp is enabled" group.long 0xB04++0x03 line.long 0x00 "MAC_SUB_SECOND_INCREMENT,Subsecond Increment" hexmask.long.byte 0x00 16.--23. 1. "SSINC,Sub-second Increment Value The value programmed in this field is accumulated every clock cycle (of clk_ptp_i) with the contents of the sub-second register" newline hexmask.long.byte 0x00 8.--15. 1. "SNSINC,Sub-nanosecond Increment Value This field contains the sub-nanosecond increment value represented in nanoseconds multiplied by 2^8" rgroup.long 0xB08++0x03 line.long 0x00 "MAC_SYSTEM_TIME_SECONDS,System Time Seconds" hexmask.long 0x00 0.--31. 1. "TSS,Timestamp Second The value in this field indicates the current value in seconds of the System Time maintained by the MAC" rgroup.long 0xB0C++0x03 line.long 0x00 "MAC_SYSTEM_TIME_NANOSECONDS,System Time Nanoseconds" hexmask.long 0x00 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field has the sub-second representation of time with an accuracy of 0" group.long 0xB10++0x03 line.long 0x00 "MAC_SYSTEM_TIME_SECONDS_UPDATE,System Time Seconds Update" hexmask.long 0x00 0.--31. 1. "TSS,Timestamp Seconds The value in this field is the seconds part of the update" group.long 0xB14++0x03 line.long 0x00 "MAC_SYSTEM_TIME_NANOSECONDS_UPDATE,System Time Nanoseconds Update" bitfld.long 0x00 31. "ADDSUB,Add or Subtract Time When this bit is set the time value is subtracted with the contents of the update register" "0: Add time,1: Subtract time" newline hexmask.long 0x00 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field is the sub-seconds part of the update" group.long 0xB18++0x03 line.long 0x00 "MAC_TIMESTAMP_ADDEND,Timestamp Addend" hexmask.long 0x00 0.--31. 1. "TSAR,Timestamp Addend Register This field indicates the 32-bit time value to be added to the Accumulator register to achieve time synchronization" group.long 0xB1C++0x03 line.long 0x00 "MAC_SYSTEM_TIME_HIGHER_WORD_SECONDS,System Time - Higher Word Seconds" hexmask.long.word 0x00 0.--15. 1. "TSHWR,Timestamp Higher Word Register This field contains the most-significant 16-bits of timestamp seconds value" rgroup.long 0xB20++0x03 line.long 0x00 "MAC_TIMESTAMP_STATUS,Timestamp Status" bitfld.long 0x00 25.--29. "ATSNS,Number of Auxiliary Timestamp Snapshots This field indicates the number of Snapshots available in the FIFO" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "ATSSTM,Auxiliary Timestamp Snapshot Trigger Missed This bit is set when the Auxiliary timestamp snapshot FIFO is full and external trigger was set" "0: Auxiliary Timestamp Snapshot Trigger Missed..,1: Auxiliary Timestamp Snapshot Trigger Missed.." newline bitfld.long 0x00 16.--19. "ATSSTN,Auxiliary Timestamp Snapshot Trigger Identifier These bits identify the Auxiliary trigger inputs for which the timestamp available in the Auxiliary Snapshot Register is applicable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "TXTSSIS,Tx Timestamp Status Interrupt Status In non-EQOS_CORE configurations when drop transmit status is enabled in MTL this bit is set when the captured transmit timestamp is updated in the MAC_TX_TIMESTAMP_STATUS_NANOSECONDS and.." "0: Tx Timestamp Status Interrupt status not..,1: Tx Timestamp Status Interrupt status detected" newline bitfld.long 0x00 9. "TSTRGTERR3,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS3_TARGET_TIME_SECONDS and MAC_PPS3_TARGET_TIME_NANOSECONDS registers elapses" "0: Timestamp Target Time Error status not detected,1: Timestamp Target Time Error status detected" newline bitfld.long 0x00 8. "TSTARGT3,Timestamp Target Time Reached for Target Time PPS3 When this bit is set it indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS3_TARGET_TIME_SECONDS and MAC_PPS3_TARGET_TIME_NANOSECONDS registers" "0: Timestamp Target Time Reached for Target Time..,1: Timestamp Target Time Reached for Target Time.." newline bitfld.long 0x00 7. "TSTRGTERR2,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS2_TARGET_TIME_SECONDS and MAC_PPS2_TARGET_TIME_NANOSECONDS registers elapses" "0: Timestamp Target Time Error status not detected,1: Timestamp Target Time Error status detected" newline bitfld.long 0x00 6. "TSTARGT2,Timestamp Target Time Reached for Target Time PPS2 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS2_TARGET_TIME_SECONDS and MAC_PPS2_TARGET_TIME_NANOSECONDS registers" "0: Timestamp Target Time Reached for Target Time..,1: Timestamp Target Time Reached for Target Time.." newline bitfld.long 0x00 5. "TSTRGTERR1,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS1_TARGET_TIME_SECONDS and MAC_PPS1_TARGET_TIME_NANOSECONDS registers elapses" "0: Timestamp Target Time Error status not detected,1: Timestamp Target Time Error status detected" newline bitfld.long 0x00 4. "TSTARGT1,Timestamp Target Time Reached for Target Time PPS1 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS1_TARGET_TIME_SECONDS and MAC_PPS1_TARGET_TIME_NANOSECONDS registers" "0: Timestamp Target Time Reached for Target Time..,1: Timestamp Target Time Reached for Target Time.." newline bitfld.long 0x00 3. "TSTRGTERR0,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers elapses" "0: Timestamp Target Time Error status not detected,1: Timestamp Target Time Error status detected" newline bitfld.long 0x00 2. "AUXTSTRIG,Auxiliary Timestamp Trigger Snapshot This bit is set high when the auxiliary snapshot is written to the FIFO" "0: Auxiliary Timestamp Trigger Snapshot status..,1: Auxiliary Timestamp Trigger Snapshot status.." newline bitfld.long 0x00 1. "TSTARGT0,Timestamp Target Time Reached When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers" "0: Timestamp Target Time Reached status not..,1: Timestamp Target Time Reached status detected" newline bitfld.long 0x00 0. "TSSOVF,Timestamp Seconds Overflow When this bit is set it indicates that the seconds value of the timestamp (when supporting version 2 format) has overflowed beyond 32'hFFFF_FFFF" "0: Timestamp Seconds Overflow status not detected,1: Timestamp Seconds Overflow status detected" rgroup.long 0xB30++0x03 line.long 0x00 "MAC_TX_TIMESTAMP_STATUS_NANOSECONDS,Transmit Timestamp Status Nanoseconds" bitfld.long 0x00 31. "TXTSSMIS,Transmit Timestamp Status Missed When this bit is set it indicates one of the following: - The timestamp of the current packet is ignored if TXTSSTSM bit of the TIMESTAMP_CONTROL register is reset - The timestamp of the previous packet is.." "0: Transmit Timestamp Status Missed status not..,1: Transmit Timestamp Status Missed status.." newline hexmask.long 0x00 0.--30. 1. "TXTSSLO,Transmit Timestamp Status Low This field contains the 31 bits of the Nanoseconds field of the Transmit packet's captured timestamp" rgroup.long 0xB34++0x03 line.long 0x00 "MAC_TX_TIMESTAMP_STATUS_SECONDS,Transmit Timestamp Status Seconds" hexmask.long 0x00 0.--31. 1. "TXTSSHI,Transmit Timestamp Status High This field contains the lower 32 bits of the Seconds field of Transmit packet's captured timestamp" group.long 0xB40++0x03 line.long 0x00 "MAC_AUXILIARY_CONTROL,Auxiliary Timestamp Control" bitfld.long 0x00 7. "ATSEN3,Auxiliary Snapshot 3 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 3" "0: Auxiliary Snapshot i is disabled,1: Auxiliary Snapshot i is enabled" newline bitfld.long 0x00 6. "ATSEN2,Auxiliary Snapshot 2 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 2" "0: Auxiliary Snapshot i is disabled,1: Auxiliary Snapshot i is enabled" newline bitfld.long 0x00 5. "ATSEN1,Auxiliary Snapshot 1 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 1" "0: Auxiliary Snapshot i is disabled,1: Auxiliary Snapshot i is enabled" newline bitfld.long 0x00 4. "ATSEN0,Auxiliary Snapshot 0 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 0" "0: Auxiliary Snapshot i is disabled,1: Auxiliary Snapshot i is enabled" newline bitfld.long 0x00 0. "ATSFC,Auxiliary Snapshot FIFO Clear When set this bit resets the pointers of the Auxiliary Snapshot FIFO" "0: Auxiliary Snapshot FIFO Clear is disabled,1: Auxiliary Snapshot FIFO Clear is enabled" rgroup.long 0xB48++0x03 line.long 0x00 "MAC_AUXILIARY_TIMESTAMP_NANOSECONDS,Auxiliary Timestamp Nanoseconds" hexmask.long 0x00 0.--30. 1. "AUXTSLO,Auxiliary Timestamp Contains the lower 31 bits (nanoseconds field) of the auxiliary timestamp" rgroup.long 0xB4C++0x03 line.long 0x00 "MAC_AUXILIARY_TIMESTAMP_SECONDS,Auxiliary Timestamp Seconds" hexmask.long 0x00 0.--31. 1. "AUXTSHI,Auxiliary Timestamp Contains the lower 32 bits of the Seconds field of the auxiliary timestamp" group.long 0xB50++0x03 line.long 0x00 "MAC_TIMESTAMP_INGRESS_ASYM_CORR,Timestamp Ingress Asymmetry Correction" hexmask.long 0x00 0.--31. 1. "OSTIAC,One-Step Timestamp Ingress Asymmetry Correction This field contains the ingress path asymmetry value to be added to correctionField of Pdelay_Resp PTP packet" group.long 0xB54++0x03 line.long 0x00 "MAC_TIMESTAMP_EGRESS_ASYM_CORR,imestamp Egress Asymmetry Correction" hexmask.long 0x00 0.--31. 1. "OSTEAC,One-Step Timestamp Egress Asymmetry Correction This field contains the egress path asymmetry value to be subtracted from correctionField of Pdelay_Resp PTP packet" group.long 0xB58++0x03 line.long 0x00 "MAC_TIMESTAMP_INGRESS_CORR_NANOSECOND,Timestamp Ingress Correction Nanosecond" hexmask.long 0x00 0.--31. 1. "TSIC,Timestamp Ingress Correction This field contains the ingress path correction value as defined by the Ingress Correction expression" group.long 0xB5C++0x03 line.long 0x00 "MAC_TIMESTAMP_EGRESS_CORR_NANOSECOND,Timestamp Egress Correction Nanosecond" hexmask.long 0x00 0.--31. 1. "TSEC,Timestamp Egress Correction This field contains the nanoseconds part of the egress path correction value as defined by the Egress Correction expression" group.long 0xB60++0x03 line.long 0x00 "MAC_TIMESTAMP_INGRESS_CORR_SUBNANOSEC,Timestamp Ingress Correction Subnanosecond" hexmask.long.byte 0x00 8.--15. 1. "TSICSNS,Timestamp Ingress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the ingress path correction value as defined by the Ingress Correction expression" group.long 0xB64++0x03 line.long 0x00 "MAC_TIMESTAMP_EGRESS_CORR_SUBNANOSEC,Timestamp Egress Correction Subnanosecond" hexmask.long.byte 0x00 8.--15. 1. "TSECSNS,Timestamp Egress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the egress path correction value as defined by the Egress Correction expression" rgroup.long 0xB68++0x03 line.long 0x00 "MAC_TIMESTAMP_INGRESS_LATENCY,Timestamp Ingress Latency" hexmask.long.word 0x00 16.--27. 1. "ITLNS,Ingress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII) where the ingress timestamp is taken" newline hexmask.long.byte 0x00 8.--15. 1. "ITLSNS,Ingress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII) where the ingress timestamp is taken" rgroup.long 0xB6C++0x03 line.long 0x00 "MAC_TIMESTAMP_EGRESS_LATENCY,Timestamp Egress Latency" hexmask.long.word 0x00 16.--27. 1. "ETLNS,Egress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the actual point (GMII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC" newline hexmask.long.byte 0x00 8.--15. 1. "ETLSNS,Egress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the actual point (GMII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC" group.long 0xB70++0x03 line.long 0x00 "MAC_PPS_CONTROL,PPS Control" bitfld.long 0x00 31. "MCGREN3,MCGR Mode Enable for PPS3 Output This field enables the 3rd PPS instance to operate in PPS or MCGR mode" "0,1" newline bitfld.long 0x00 29.--30. "TRGTMODSEL3,Target Time Register Mode for PPS3 Output This field indicates the Target Time registers (MAC_PPS3_TARGET_TIME_SECONDS and MAC_PPS3_TARGET_TIME_NANOSECONDS) mode for PPS3 output signal" "0: Target Time registers are programmed only for..,?,2: Target Time registers are programmed for..,3: Target Time registers are programmed only for.." newline bitfld.long 0x00 24.--27. "PPSCMD3,Flexible PPS3 Output Control This field controls the flexible PPS3 output (ptp_pps_o[3]) signal" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 23. "MCGREN2,MCGR Mode Enable for PPS2 Output This field enables the 2nd PPS instance to operate in PPS or MCGR mode" "0: 2nd PPS instance is disabled to operate in..,1: 2nd PPS instance is enabled to operate in PPS.." newline bitfld.long 0x00 21.--22. "TRGTMODSEL2,Target Time Register Mode for PPS2 Output This field indicates the Target Time registers (MAC_PPS2_TARGET_TIME_SECONDS and MAC_PPS2_TARGET_TIME_NANOSECONDS) mode for PPS2 output signal" "0: Target Time registers are programmed only for..,?,2: Target Time registers are programmed for..,3: Target Time registers are programmed only for.." newline bitfld.long 0x00 16.--19. "PPSCMD2,Flexible PPS2 Output Control This field controls the flexible PPS2 output (ptp_pps_o[2]) signal" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "MCGREN1,MCGR Mode Enable for PPS1 Output This field enables the 1st PPS instance to operate in PPS or MCGR mode" "0: 1st PPS instance is disabled to operate in..,1: 1st PPS instance is enabled to operate in PPS.." newline bitfld.long 0x00 13.--14. "TRGTMODSEL1,Target Time Register Mode for PPS1 Output This field indicates the Target Time registers (MAC_PPS1_TARGET_TIME_SECONDS and MAC_PPS1_TARGET_TIME_NANOSECONDS) mode for PPS1 output signal" "0: Target Time registers are programmed only for..,?,2: Target Time registers are programmed for..,3: Target Time registers are programmed only for.." newline bitfld.long 0x00 8.--11. "PPSCMD1,Flexible PPS1 Output Control This field controls the flexible PPS1 output (ptp_pps_o[1]) signal" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "MCGREN0,MCGR Mode Enable for PPS0 Output This field enables the 0th PPS instance to operate in PPS or MCGR mode" "0: 0th PPS instance is enabled to operate in PPS..,1: 0th PPS instance is enabled to operate in.." newline bitfld.long 0x00 5.--6. "TRGTMODSEL0,Target Time Register Mode for PPS0 Output This field indicates the Target Time registers (MAC_PPS0_TARGET_TIME_SECONDS and MAC_PPS0_TARGET_TIME_NANOSECONDS) mode for PPS0 output signal" "0: Target Time registers are programmed only for..,?,2: Target Time registers are programmed for..,3: Target Time registers are programmed only for.." newline bitfld.long 0x00 4. "PPSEN0,Flexible PPS Output Mode Enable When this bit is set Bits[3:0] function as PPSCMD" "0: Flexible PPS Output Mode is disabled,1: Flexible PPS Output Mode is enabled" newline bitfld.long 0x00 0.--3. "PPSCTRL_PPSCMD,PPS Output Frequency Control This field controls the frequency of the PPS0 output (ptp_pps_o[0]) signal" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB80++0x03 line.long 0x00 "MAC_PPS0_TARGET_TIME_SECONDS,PPS0 Target Time Seconds" hexmask.long 0x00 0.--31. 1. "TSTRH0,PPS Target Time Seconds Register This field stores the time in seconds" group.long 0xB84++0x03 line.long 0x00 "MAC_PPS0_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds" bitfld.long 0x00 31. "TRGTBUSY0,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the PPS_CONTROL register is programmed to 010 or 011" "0: PPS Target Time Register Busy status is not..,1: PPS Target Time Register Busy is detected" newline hexmask.long 0x00 0.--30. 1. "TTSL0,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds" group.long 0xB88++0x03 line.long 0x00 "MAC_PPS0_INTERVAL,PPS0 Interval" hexmask.long 0x00 0.--31. 1. "PPSINT0,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output" group.long 0xB8C++0x03 line.long 0x00 "MAC_PPS0_WIDTH,PPS0 Width" hexmask.long 0x00 0.--31. 1. "PPSWIDTH0,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output" group.long 0xB90++0x03 line.long 0x00 "MAC_PPS1_TARGET_TIME_SECONDS,PPS1 Target Time Seconds" hexmask.long 0x00 0.--31. 1. "TSTRH1,PPS Target Time Seconds Register This field stores the time in seconds" group.long 0xB94++0x03 line.long 0x00 "MAC_PPS1_TARGET_TIME_NANOSECONDS,PPS1 Target Time Nanoseconds" bitfld.long 0x00 31. "TRGTBUSY1,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the PPS_CONTROL register is programmed to 010 or 011" "0: PPS Target Time Register Busy status is not..,1: PPS Target Time Register Busy is detected" newline hexmask.long 0x00 0.--30. 1. "TTSL1,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds" group.long 0xB98++0x03 line.long 0x00 "MAC_PPS1_INTERVAL,PPS1 Interval" hexmask.long 0x00 0.--31. 1. "PPSINT1,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output" group.long 0xB9C++0x03 line.long 0x00 "MAC_PPS1_WIDTH,PPS1 Width" hexmask.long 0x00 0.--31. 1. "PPSWIDTH1,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output" group.long 0xBA0++0x03 line.long 0x00 "MAC_PPS2_TARGET_TIME_SECONDS,PPS2 Target Time Seconds" hexmask.long 0x00 0.--31. 1. "TSTRH2,PPS Target Time Seconds Register This field stores the time in seconds" group.long 0xBA4++0x03 line.long 0x00 "MAC_PPS2_TARGET_TIME_NANOSECONDS,PPS2 Target Time Nanoseconds" bitfld.long 0x00 31. "TRGTBUSY2,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the PPS_CONTROL register is programmed to 010 or 011" "0: PPS Target Time Register Busy status is not..,1: PPS Target Time Register Busy is detected" newline hexmask.long 0x00 0.--30. 1. "TTSL2,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds" group.long 0xBA8++0x03 line.long 0x00 "MAC_PPS2_INTERVAL,PPS2 Interval" hexmask.long 0x00 0.--31. 1. "PPSINT2,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output" group.long 0xBAC++0x03 line.long 0x00 "MAC_PPS2_WIDTH,PPS2 Width" hexmask.long 0x00 0.--31. 1. "PPSWIDTH2,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output" group.long 0xBB0++0x03 line.long 0x00 "MAC_PPS3_TARGET_TIME_SECONDS,PPS3 Target Time Seconds" hexmask.long 0x00 0.--31. 1. "TSTRH3,PPS Target Time Seconds Register This field stores the time in seconds" group.long 0xBB4++0x03 line.long 0x00 "MAC_PPS3_TARGET_TIME_NANOSECONDS,PPS3 Target Time Nanoseconds" bitfld.long 0x00 31. "TRGTBUSY3,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the PPS_CONTROL register is programmed to 010 or 011" "0: PPS Target Time Register Busy status is not..,1: PPS Target Time Register Busy is detected" newline hexmask.long 0x00 0.--30. 1. "TTSL3,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds" group.long 0xBB8++0x03 line.long 0x00 "MAC_PPS3_INTERVAL,PPS3 Interval" hexmask.long 0x00 0.--31. 1. "PPSINT3,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output" group.long 0xBBC++0x03 line.long 0x00 "MAC_PPS3_WIDTH,PPS3 Width" hexmask.long 0x00 0.--31. 1. "PPSWIDTH3,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output" group.long 0xBC0++0x03 line.long 0x00 "MAC_PTO_CONTROL,PTP Offload Engine Control" hexmask.long.byte 0x00 8.--15. 1. "DN,Domain Number This field indicates the domain Number in which the PTP node is operating" newline bitfld.long 0x00 7. "PDRDIS,Disable Peer Delay Response response generation When this bit is set the Peer Delay Response (Pdelay_Resp) response is not be generated for received Peer Delay Request (Pdelay_Req) request packet as required by the programmed mode" "0: Peer Delay Response response generation is..,1: Peer Delay Response response generation is.." newline bitfld.long 0x00 6. "DRRDIS,Disable PTO Delay Request/Response response generation When this bit is set the Delay Request and Delay response is not generated for received SYNC and Delay request packet respectively as required by the programmed mode" "0: PTO Delay Request/Response response..,1: PTO Delay Request/Response response.." newline bitfld.long 0x00 5. "APDREQTRIG,Automatic PTP Pdelay_Req message Trigger When this bit is set one PTP Pdelay_Req message is transmitted" "0: Automatic PTP Pdelay_Req message Trigger is..,1: Automatic PTP Pdelay_Req message Trigger is.." newline bitfld.long 0x00 4. "ASYNCTRIG,Automatic PTP SYNC message Trigger When this bit is set one PTP SYNC message is transmitted" "0: Automatic PTP SYNC message Trigger is disabled,1: Automatic PTP SYNC message Trigger is enabled" newline bitfld.long 0x00 2. "APDREQEN,Automatic PTP Pdelay_Req message Enable When this bit is set PTP Pdelay_Req message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Peer-to-Peer Transparent mode" "0: Automatic PTP Pdelay_Req message is disabled,1: Automatic PTP Pdelay_Req message is enabled" newline bitfld.long 0x00 1. "ASYNCEN,Automatic PTP SYNC message Enable When this bit is set PTP SYNC message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Clock Master mode" "0: Automatic PTP SYNC message is disabled,1: Automatic PTP SYNC message is enabled" newline bitfld.long 0x00 0. "PTOEN,PTP Offload Enable When this bit is set the PTP Offload feature is enabled" "0: PTP Offload feature is disabled,1: PTP Offload feature is enabled" group.long 0xBC4++0x03 line.long 0x00 "MAC_SOURCE_PORT_IDENTITY0,Source Port Identity 0" hexmask.long 0x00 0.--31. 1. "SPI0,Source Port Identity 0 This field indicates bits [31:0] of sourcePortIdentity of PTP node" group.long 0xBC8++0x03 line.long 0x00 "MAC_SOURCE_PORT_IDENTITY1,Source Port Identity 1" hexmask.long 0x00 0.--31. 1. "SPI1,Source Port Identity 1 This field indicates bits [63:32] of sourcePortIdentity of PTP node" group.long 0xBCC++0x03 line.long 0x00 "MAC_SOURCE_PORT_IDENTITY2,Source Port Identity 2" hexmask.long.word 0x00 0.--15. 1. "SPI2,Source Port Identity 2 This field indicates bits [79:64] of sourcePortIdentity of PTP node" group.long 0xBD0++0x03 line.long 0x00 "MAC_LOG_MESSAGE_INTERVAL,Log Message Interval" hexmask.long.byte 0x00 24.--31. 1. "LMPDRI,Log Min Pdelay_Req Interval This field indicates logMinPdelayReqInterval of PTP node" newline bitfld.long 0x00 8.--10. "DRSYNCR,Delay_Req to SYNC Ratio In Slave mode it is used for controlling frequency of Delay_Req messages transmitted" "0: DelayReq generated for every received SYNC,1: DelayReq generated every alternate reception..,2: for every 4 SYNC messages,3: for every 8 SYNC messages,4: for every 16 SYNC messages,5: for every 32 SYNC messages,?..." newline hexmask.long.byte 0x00 0.--7. 1. "LSI,Log Sync Interval This field indicates the periodicity of the automatically generated SYNC message when the PTP node is Master" group.long 0xC00++0x03 line.long 0x00 "MTL_OPERATION_MODE,MTL Operation Mode" bitfld.long 0x00 15. "FRPE,Flexible Rx parser Enable When this bit is set to 1 the Programmable Rx Parser functionality is enabled" "0: Flexible Rx parser is disabled,1: Flexible Rx parser is enabled" newline bitfld.long 0x00 9. "CNTCLR,Counters Reset When this bit is set all counters are reset" "0: Counters are not reset,1: All counters are reset" newline bitfld.long 0x00 8. "CNTPRST,Counters Preset When this bit is set - MTL_TxQ[0-7]_Underflow register is initialized/preset to 12'h7F0" "0: Counters Preset is disabled,1: Counters Preset is enabled" newline bitfld.long 0x00 5.--6. "SCHALG,Tx Scheduling Algorithm This field indicates the algorithm for Tx scheduling" "0: WRR algorithm,1: WFQ algorithm when DCB feature is..,2: DWRR algorithm when DCB feature is..,3: Strict priority algorithm" newline bitfld.long 0x00 2. "RAA,Receive Arbitration Algorithm This field is used to select the arbitration algorithm for the Rx side" "0: Strict priority (SP),1: Weighted Strict Priority (WSP)" newline bitfld.long 0x00 1. "DTXSTS,Drop Transmit Status When this bit is set the Tx packet status received from the MAC is dropped in the MTL" "0: Drop Transmit Status is disabled,1: Drop Transmit Status is enabled" group.long 0xC08++0x03 line.long 0x00 "MTL_DBG_CTL,FIFO Debug Access Control and Status" bitfld.long 0x00 15. "STSIE,Transmit Status Available Interrupt Status Enable When this bit is set an interrupt is generated when Transmit status is available in slave mode" "0: Transmit Packet Available Interrupt Status is..,1: Transmit Packet Available Interrupt Status is.." newline bitfld.long 0x00 14. "PKTIE,Receive Packet Available Interrupt Status Enable When this bit is set an interrupt is generated when EOP of received packet is written to the Rx FIFO" "0: Receive Packet Available Interrupt Status is..,1: Receive Packet Available Interrupt Status is.." newline bitfld.long 0x00 12.--13. "FIFOSEL,FIFO Selected for Access This field indicates the FIFO selected for debug access" "0: Tx FIFO,1: Tx Status FIFO (only read access when SLVMOD..,2: TSO FIFO (cannot be accessed when SLVMOD is..,3: Rx FIFO" newline bitfld.long 0x00 11. "FIFOWREN,FIFO Write Enable When this bit is set it enables the Write operation on selected FIFO when FIFO Debug Access is enabled" "0: FIFO Write is disabled,1: FIFO Write is enabled" newline bitfld.long 0x00 10. "FIFORDEN,FIFO Read Enable When this bit is set it enables the Read operation on selected FIFO when FIFO Debug Access is enabled" "0: FIFO Read is disabled,1: FIFO Read is enabled" newline bitfld.long 0x00 9. "RSTSEL,Reset Pointers of Selected FIFO When this bit is set the pointers of the currently-selected FIFO are reset when FIFO Debug Access is enabled" "0: Reset Pointers of Selected FIFO is disabled,1: Reset Pointers of Selected FIFO is enabled" newline bitfld.long 0x00 8. "RSTALL,Reset All Pointers When this bit is set the pointers of all FIFOs are reset when FIFO Debug Access is enabled" "0: Reset All Pointers is disabled,1: Reset All Pointers is enabled" newline bitfld.long 0x00 5.--6. "PKTSTATE,Encoded Packet State This field is used to write the control information to the Tx FIFO or Rx FIFO" "0: Packet Data,1: Control Word/Normal Status,2: SOP Data/Last Status,3: EOP Data/EOP" newline bitfld.long 0x00 2.--3. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Write operation" "0: Byte 0 valid,1: Byte 0 and Byte 1 are valid,2: Byte 0 Byte 1 and Byte 2 are valid,3: All four bytes are valid" newline bitfld.long 0x00 1. "DBGMOD,Debug Mode Access to FIFO When this bit is set it indicates that the current access to the FIFO is read write and debug access" "0: Debug Mode Access to FIFO is disabled,1: Debug Mode Access to FIFO is enabled" newline bitfld.long 0x00 0. "FDBGEN,FIFO Debug Access Enable When this bit is set it indicates that the debug mode access to the FIFO is enabled" "0: FIFO Debug Access is disabled,1: FIFO Debug Access is enabled" group.long 0xC0C++0x03 line.long 0x00 "MTL_DBG_STS,FIFO Debug Status" hexmask.long.tbyte 0x00 15.--31. 1. "LOCR,Remaining Locations in the FIFO Slave Access Mode: This field indicates the space available in selected FIFO" newline bitfld.long 0x00 9. "STSI,Transmit Status Available Interrupt Status When set this bit indicates that the Slave mode Tx packet is transmitted and the status is available in Tx Status FIFO" "0: Transmit Status Available Interrupt Status..,1: Transmit Status Available Interrupt Status.." newline bitfld.long 0x00 8. "PKTI,Receive Packet Available Interrupt Status When set this bit indicates that MAC layer has written the EOP of received packet to the Rx FIFO" "0: Receive Packet Available Interrupt Status not..,1: Receive Packet Available Interrupt Status.." newline rbitfld.long 0x00 3.--4. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Read operation" "0: Byte 0 valid,1: Byte 0 and Byte 1 are valid,2: Byte 0 Byte 1 and Byte 2 are valid,3: All four bytes are valid" newline rbitfld.long 0x00 1.--2. "PKTSTATE,Encoded Packet State This field is used to get the control or status information of the selected FIFO" "0: Packet Data,1: Control Word/Normal Status,2: SOP Data/Last Status,3: EOP Data/EOP" newline rbitfld.long 0x00 0. "FIFOBUSY,FIFO Busy When set this bit indicates that a FIFO operation is in progress in the MAC and content of the following fields is not valid: - All other fields of this register - All fields of the MTL_FIFO_DEBUG_DATA register" "0: FIFO Busy not detected,1: FIFO Busy detected" group.long 0xC10++0x03 line.long 0x00 "MTL_FIFO_DEBUG_DATA,FIFO Debug Data" hexmask.long 0x00 0.--31. 1. "FDBGDATA,FIFO Debug Data During debug or slave access write operation this field contains the data to be written to the Tx FIFO Rx FIFO or TSO FIFO" rgroup.long 0xC20++0x03 line.long 0x00 "MTL_INTERRUPT_STATUS,MTL Interrupt Status" bitfld.long 0x00 23. "MTLPIS,MTL Rx Parser Interrupt Status This bit indicates that there is an interrupt from Rx Parser Block" "0: MTL Rx Parser Interrupt status not detected,1: MTL Rx Parser Interrupt status detected" newline bitfld.long 0x00 18. "ESTIS,EST (TAS- 802" "0: EST (TAS- 802.1Qbv) Interrupt status not..,1: EST (TAS- 802.1Qbv) Interrupt status detected" newline bitfld.long 0x00 17. "DBGIS,Debug Interrupt status This bit indicates an interrupt event during the slave access" "0: Debug Interrupt status not detected,1: Debug Interrupt status detected" newline bitfld.long 0x00 4. "Q4IS,Queue 4 Interrupt status This bit indicates that there is an interrupt from Queue 4" "0: Queue 4 Interrupt status not detected,1: Queue 4 Interrupt status detected" newline bitfld.long 0x00 3. "Q3IS,Queue 3 Interrupt status This bit indicates that there is an interrupt from Queue 3" "0: Queue 3 Interrupt status not detected,1: Queue 3 Interrupt status detected" newline bitfld.long 0x00 2. "Q2IS,Queue 2 Interrupt status This bit indicates that there is an interrupt from Queue 2" "0: Queue 2 Interrupt status not detected,1: Queue 2 Interrupt status detected" newline bitfld.long 0x00 1. "Q1IS,Queue 1 Interrupt status This bit indicates that there is an interrupt from Queue 1" "0: Queue 1 Interrupt status not detected,1: Queue 1 Interrupt status detected" newline bitfld.long 0x00 0. "Q0IS,Queue 0 Interrupt status This bit indicates that there is an interrupt from Queue 0" "0: Queue 0 Interrupt status not detected,1: Queue 0 Interrupt status detected" group.long 0xC30++0x03 line.long 0x00 "MTL_RXQ_DMA_MAP0,Receive Queue and DMA Channel Mapping 0" bitfld.long 0x00 28. "Q3DDMACH,Queue 3 Enabled for Dynamic (per packet) DMA Channel Selection When set this bit indicates that the packets received in Queue 3 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in.." "0: Queue 3 disabled for DA-based DMA Channel..,1: Queue 3 enabled for DA-based DMA Channel.." newline bitfld.long 0x00 24.--26. "Q3MDMACH,Queue 3 Mapped to DMA Channel This field controls the routing of the received packet in Queue 3 to the DMA channel" "0: DMA Channel,1: DMA Channel,2: DMA Channel,3: DMA Channel,4: DMA Channel,5: Reserved,6: Reserved,7: Reserved This field is valid when the" newline bitfld.long 0x00 20. "Q2DDMACH,Queue 2 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 2 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "0: Queue 2 disabled for DA-based DMA Channel..,1: Queue 2 enabled for DA-based DMA Channel.." newline bitfld.long 0x00 16.--18. "Q2MDMACH,Queue 2 Mapped to DMA Channel This field controls the routing of the received packet in Queue 2 to the DMA channel" "0: DMA Channel,1: DMA Channel,2: DMA Channel,3: DMA Channel,4: DMA Channel,5: Reserved,6: Reserved,7: Reserved This field is valid when the" newline bitfld.long 0x00 12. "Q1DDMACH,Queue 1 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 1 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "0: Queue 1 disabled for DA-based DMA Channel..,1: Queue 1 enabled for DA-based DMA Channel.." newline bitfld.long 0x00 8.--10. "Q1MDMACH,Queue 1 Mapped to DMA Channel This field controls the routing of the received packet in Queue 1 to the DMA channel" "0: DMA Channel,1: DMA Channel,2: DMA Channel,3: DMA Channel,4: DMA Channel,5: Reserved,6: Reserved,7: Reserved This field is valid when the" newline bitfld.long 0x00 4. "Q0DDMACH,Queue 0 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 0 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "0: Queue 0 disabled for DA-based DMA Channel..,1: Queue 0 enabled for DA-based DMA Channel.." newline bitfld.long 0x00 0.--2. "Q0MDMACH,Queue 0 Mapped to DMA Channel This field controls the routing of the packet received in Queue 0 to the DMA channel" "0: DMA Channel,1: DMA Channel,2: DMA Channel,3: DMA Channel,4: DMA Channel,5: Reserved,6: Reserved,7: Reserved This field is valid when the" group.long 0xC34++0x03 line.long 0x00 "MTL_RXQ_DMA_MAP1,Receive Queue and DMA Channel Mapping 1" bitfld.long 0x00 4. "Q4DDMACH,Queue 4 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 4 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "0: Queue 4 disabled for DA-based DMA Channel..,1: Queue 4 enabled for DA-based DMA Channel.." newline bitfld.long 0x00 0.--2. "Q4MDMACH,Queue 4 Mapped to DMA Channel This field controls the routing of the packet received in Queue 4 to the DMA channel" "0: DMA Channel,1: DMA Channel,2: DMA Channel,3: DMA Channel,4: DMA Channel,5: Reserved,6: Reserved,7: Reserved This field is valid when the" group.long 0xC40++0x03 line.long 0x00 "MTL_TBS_CTRL,Time Based Scheduling Control" hexmask.long.tbyte 0x00 8.--31. 1. "LEOS,Launch Expiry Offset The value in units of 256 nanoseconds that has to be added to the Launch time to compute the Launch Expiry time" newline bitfld.long 0x00 4.--6. "LEGOS,Launch Expiry GSN Offset The number GSN slots that has to be added to the Launch GSN to compute the Launch Expiry time" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 1. "LEOV,Launch Expiry Offset Valid When set indicates the LEOS field is valid" "0: LEOS field is invalid,1: LEOS field is valid" newline bitfld.long 0x00 0. "ESTM,EST offset Mode When this bit is set the Launch Time value used in Time Based Scheduling is interpreted as an EST offset value and is added to the Base Time Register (BTR) of the current list" "0: EST offset Mode is disabled,1: EST offset Mode is enabled" group.long 0xC50++0x03 line.long 0x00 "MTL_EST_CONTROL,Enhancements to Scheduled Transmission Control" hexmask.long.byte 0x00 24.--31. 1. "PTOV,PTP Time Offset Value The value of PTP Clock period multiplied by 6 in nanoseconds" newline hexmask.long.word 0x00 12.--23. 1. "CTOV,Current Time Offset Value Provides a 12 bit time offset value in nano second that is added to the current time to compensate for all the implementation pipeline delays such as the CDC sync delay buffering delays data path delays etc" newline bitfld.long 0x00 8.--10. "TILS,Time Interval Left Shift Amount This field provides the left shift amount for the programmed Time Interval values used in the Gate Control Lists" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "LCSE,Loop Count to report Scheduling Error Programmable number of GCL list iterations before reporting an HLBS error defined in EST_STATUS register" "0: 4 iterations,1: 8 iterations,2: 16 iterations,3: 32 iterations" newline bitfld.long 0x00 5. "DFBS,Drop Frames causing Scheduling Error When set frames reported to cause HOL Blocking due to not getting scheduled (HLBS field of EST_STATUS register) after 4 8 16 32 (based on LCSE field of this register) GCL iterations are dropped" "0: Do not Drop Frames causing Scheduling Error,1: Drop Frames causing Scheduling Error" newline bitfld.long 0x00 4. "DDBF,Do not Drop frames during Frame Size Error When set frames are not be dropped during Head-of-Line blocking due to Frame Size Error (HLBF field of MTL_EST_STATUS register)" "0: Drop frames during Frame Size Error,1: Do not Drop frames during Frame Size Error" newline bitfld.long 0x00 1. "SSWL,Switch to S/W owned list When set indicates that the software has programmed that list that it currently owns (SWOL) and the hardware should switch to the new list based on the new BTR" "0: Switch to S/W owned list is disabled,1: Switch to S/W owned list is enabled" newline bitfld.long 0x00 0. "EEST,Enable EST When reset the gate control list processing is halted and all gates are assumed to be in Open state" "0: EST is disabled,1: EST is enabled" group.long 0xC54++0x03 line.long 0x00 "MTL_EST_EXT_CONTROL,MTL_EST_EXT_CONTROL" bitfld.long 0x00 0.--5. "OVHD,Overhead Bytes Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC58++0x03 line.long 0x00 "MTL_EST_STATUS,Enhancements to Scheduled Transmission Status" rbitfld.long 0x00 16.--19. "CGSN,Current GCL Slot Number Indicates the slot number of the GCL list" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 8.--11. "BTRL,BTR Error Loop Count Provides the minimum count (N) for which the equation Current Time =< New BTR + (N * New Cycle Time) becomes true" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 7. "SWOL,S/W owned list When '0' indicates Gate control list number 0 is owned by software and when 1 indicates the Gate Control list 1 is owned by the software" "0: Gate control list number 0 is owned by software,1: Gate control list number 1 is owned by software" newline bitfld.long 0x00 4. "CGCE,Constant Gate Control Error This error occurs when the list length (LLR) is 1 and the programmed Time Interval (TI) value after the optional Left Shifting is less than or equal to the Cycle Time (CTR)" "0: Constant Gate Control Error not detected,1: Constant Gate Control Error detected" newline rbitfld.long 0x00 3. "HLBS,Head-Of-Line Blocking due to Scheduling Set when the frame is not able to win arbitration and get scheduled even after 4 iterations of the GCL" "0: Head-Of-Line Blocking due to Scheduling not..,1: Head-Of-Line Blocking due to Scheduling.." newline rbitfld.long 0x00 2. "HLBF,Head-Of-Line Blocking due to Frame Size Set when HOL Blocking is noticed on one or more Queues as a result of none of the Time Intervals of gate open in the GCL being greater than or equal to the duration needed for frame size (or frame fragment.." "0: Head-Of-Line Blocking due to Frame Size not..,1: Head-Of-Line Blocking due to Frame Size.." newline bitfld.long 0x00 1. "BTRE,BTR Error When 1 indicates a programming error in the BTR of SWOL where the programmed value is less than current time" "0: BTR Error not detected,1: BTR Error detected" newline bitfld.long 0x00 0. "SWLC,Switch to S/W owned list Complete When 1 indicates the hardware has successfully switched to the SWOL and the SWOL bit has been updated to that effect" "0: Switch to S/W owned list Complete not detected,1: Switch to S/W owned list Complete detected" group.long 0xC60++0x03 line.long 0x00 "MTL_EST_SCH_ERROR,EST Scheduling Error" bitfld.long 0x00 0.--4. "SEQN,Schedule Error Queue Number The One Hot Encoded Queue Numbers that have experienced error/timeout described in HLBS field of status register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xC64++0x03 line.long 0x00 "MTL_EST_FRM_SIZE_ERROR,EST Frame Size Error" bitfld.long 0x00 0.--4. "FEQN,Frame Size Error Queue Number The One Hot Encoded Queue Numbers that have experienced error described in HLBF field of status register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xC68++0x03 line.long 0x00 "MTL_EST_FRM_SIZE_CAPTURE,EST Frame Size Capture" bitfld.long 0x00 16.--18. "HBFQ,Queue Number of HLBF Captures the binary value of the of the first Queue (number) experiencing HLBF error (see HLBF field of status register)" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--14. 1. "HBFS,Frame Size of HLBF Captures the Frame Size of the dropped frame related to queue number indicated in HBFQ field of this register" group.long 0xC70++0x03 line.long 0x00 "MTL_EST_INTR_ENABLE,EST Interrupt Enable" bitfld.long 0x00 4. "CGCE,Interrupt Enable for CGCE When set generates interrupt when the Constant Gate Control Error occurs and is indicated in the status" "0: Interrupt for CGCE is disabled,1: Interrupt for CGCE is enabled" newline bitfld.long 0x00 3. "IEHS,Interrupt Enable for HLBS When set generates interrupt when the Head-of-Line Blocking due to Scheduling issue and is indicated in the status" "0: Interrupt for HLBS is disabled,1: Interrupt for HLBS is enabled" newline bitfld.long 0x00 2. "IEHF,Interrupt Enable for HLBF When set generates interrupt when the Head-of-Line Blocking due to Frame Size error occurs and is indicated in the status" "0: Interrupt for HLBF is disabled,1: Interrupt for HLBF is enabled" newline bitfld.long 0x00 1. "IEBE,Interrupt Enable for BTR Error When set generates interrupt when the BTR Error occurs and is indicated in the status" "0: Interrupt for BTR Error is disabled,1: Interrupt for BTR Error is enabled" newline bitfld.long 0x00 0. "IECC,Interrupt Enable for Switch List When set generates interrupt when the configuration change is successful and the hardware has switched to the new list" "0: Interrupt for Switch List is disabled,1: Interrupt for Switch List is enabled" group.long 0xC80++0x03 line.long 0x00 "MTL_EST_GCL_CONTROL,EST GCL Control" rbitfld.long 0x00 22.--23. "ESTEIEC,ECC Inject Error Control for EST Memory When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field" "0: Insert 1 bit error,1: Insert 2 bit errors,2: Insert 3 bit errors,3: Insert 1 bit error in address field" newline rbitfld.long 0x00 21. "ESTEIEE,EST ECC Inject Error Enable When set along with EEST bit of MTL_EST_CONTROL register enables the ECC error injection feature" "0: EST ECC Inject Error is disabled,1: EST ECC Inject Error is enabled" newline bitfld.long 0x00 20. "ERR0,When set indicates the last write operation was aborted as software writes to GCL and GCL registers is prohibited when SSWL bit of MTL_EST_CONTROL Register is set" "0: ERR0 is disabled,1: ERR1 is enabled" newline hexmask.long.word 0x00 8.--16. 1. "ADDR,Gate Control List Address: (GCLA when GCRR is 0 )" newline bitfld.long 0x00 5. "DBGB,Debug Mode Bank Select When set to 0 indicates R/W in debug mode should be directed to Bank 0 (GCL0 and corresponding Time related registers)" "0: R/W in debug mode should be directed to Bank 0,1: R/W in debug mode should be directed to Bank 1" newline bitfld.long 0x00 4. "DBGM,Debug Mode When set to 1 indicates R/W in debug mode where the memory bank (for GCL and Time related registers) is explicitly provided by DBGB value when set to 0 SWOL bit is used to determine which bank to use" "0: Debug Mode is disabled,1: Debug Mode is enabled" newline bitfld.long 0x00 2. "GCRR,Gate Control Related Registers When set to 1 indicates the R/W access is for the GCL related registers (BTR CTR TER LLR) whose address is provided by GCRA" "0: Gate Control Related Registers are disabled,1: Gate Control Related Registers are enabled" newline bitfld.long 0x00 1. "R1W0,Read '1' Write '0': When set to '1': Read Operation When set to '0': Write Operation" "0: Write Operation,1: Read Operation" newline bitfld.long 0x00 0. "SRWO,Start Read/Write Op When set indicates a Read/Write Op has started and is in progress" "0: Start Read/Write Op disabled,1: Start Read/Write Op enabled" group.long 0xC84++0x03 line.long 0x00 "MTL_EST_GCL_DATA,EST GCL Data" hexmask.long 0x00 0.--31. 1. "GCD,Gate Control Data The data corresponding to the address selected in the MTL_GCL_CONTROL register" group.long 0xC90++0x03 line.long 0x00 "MTL_FPE_CTRL_STS,Frame Preemption Control and Status" rbitfld.long 0x00 28. "HRS,Hold/Release Status" "0: Indicates a Set-and-Release-MAC operation was..,1: Indicates a Set-and-Hold-MAC operation was.." newline bitfld.long 0x00 8.--12. "PEC,Preemption Classification When set indicates the corresponding Queue must be classified as preemptable when '0' Queue is classified as express" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--1. "AFSZ,Additional Fragment Size used to indicate in units of 64 bytes the minimum number of bytes over 64 bytes required in non-final fragments of preempted frames" "0,1,2,3" group.long 0xC94++0x03 line.long 0x00 "MTL_FPE_ADVANCE,Frame Preemption Hold and Release Advance" hexmask.long.word 0x00 16.--31. 1. "RADV,Release Advance The maximum time in nanoseconds that can elapse between issuing a RELEASE to the MAC and the MAC being ready to resume transmission of preemptable frames in the absence of there being any express frames available for transmission" newline hexmask.long.word 0x00 0.--15. 1. "HADV,Hold Advance The maximum time in nanoseconds that can elapse between issuing a HOLD to the MAC and the MAC ceasing to transmit any preemptable frame that is in the process of transmission or any preemptable frames that are queued for transmission" group.long 0xCA0++0x03 line.long 0x00 "MTL_RXP_CONTROL_STATUS,RXP Control Status" rbitfld.long 0x00 31. "RXPI,RX Parser in Idle state This status bit is set to 1 when the Rx parser is in Idle State and waiting for a new packet for processing" "0: RX Parser not in Idle state,1: RX Parser in Idle state" newline hexmask.long.byte 0x00 16.--23. 1. "NPE,Number of parsable entries in the Instruction table This control indicates the number of parsable entries in the Instruction Memory" newline hexmask.long.byte 0x00 0.--7. 1. "NVE,Number of valid entries in the Instruction table This control indicates the number of valid entries in the Instruction Memory" group.long 0xCA4++0x03 line.long 0x00 "MTL_RXP_INTERRUPT_CONTROL_STATUS,RXP Interrupt Control Status" bitfld.long 0x00 19. "PDRFIE,Packet Drop due to RF Interrupt Enable When this bit is set the PDRFIS interrupt is enabled" "0: Packet Drop due to RF Interrupt is disabled,1: Packet Drop due to RF Interrupt is enabled" newline bitfld.long 0x00 18. "FOOVIE,Frame Offset Overflow Interrupt Enable When this bit is set the FOOVIS interrupt is enabled" "0: Frame Offset Overflow Interrupt is disabled,1: Frame Offset Overflow Interrupt is enabled" newline bitfld.long 0x00 17. "NPEOVIE,Number of Parsable Entries Overflow Interrupt Enable When this bit is set the NPEOVIS interrupt is enabled" "0: Number of Parsable Entries Overflow Interrupt..,1: Number of Parsable Entries Overflow Interrupt.." newline bitfld.long 0x00 16. "NVEOVIE,Number of Valid Entries Overflow Interrupt Enable When this bit is set the NVEOVIS interrupt is enabled" "0: Number of Valid Entries Overflow Interrupt is..,1: Number of Valid Entries Overflow Interrupt is.." newline bitfld.long 0x00 3. "PDRFIS,Packet Dropped due to RF Interrupt Status If the Rx Parser result says to drop the packet by setting RF=1 in the instruction memory then this bit is set to 1" "0: Packet Dropped due to RF Interrupt Status not..,1: Packet Dropped due to RF Interrupt Status.." newline bitfld.long 0x00 2. "FOOVIS,Frame Offset Overflow Interrupt Status While parsing if the Instruction table entry's 'Frame Offset' found to be more than EOF offset then then this bit is set" "0: Frame Offset Overflow Interrupt Status not..,1: Frame Offset Overflow Interrupt Status detected" newline bitfld.long 0x00 1. "NPEOVIS,Number of Parsable Entries Overflow Interrupt Status While parsing a packet if the number of parsed entries found to be more than NPE[] (Number of Parseable Entries in MTL_RXP_CONTROL register) then this bit is set to 1" "0: Number of Parsable Entries Overflow Interrupt..,1: Number of Parsable Entries Overflow Interrupt.." newline bitfld.long 0x00 0. "NVEOVIS,Number of Valid Entries Overflow Interrupt Status While parsing if the Instruction address found to be more than NVE (Number of Valid Entries in MTL_RXP_CONTROL register) then this bit is set to 1" "0: Number of Valid Entries Overflow Interrupt..,1: Number of Valid Entries Overflow Interrupt.." rgroup.long 0xCA8++0x03 line.long 0x00 "MTL_RXP_DROP_CNT,RXP Drop Count" bitfld.long 0x00 31. "RXPDCOVF,Rx Parser Drop Counter Overflow Bit When set this bit indicates that the MTL_RXP_DROP_CNT (RXPDC) Counter field crossed the maximum limit" "0: Rx Parser Drop count overflow not occurred,1: Rx Parser Drop count overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPDC,Rx Parser Drop count This 31-bit counter is implemented whenever a Rx Parser Drops a packet due to RF =1" rgroup.long 0xCAC++0x03 line.long 0x00 "MTL_RXP_ERROR_CNT,RXP Error Count" bitfld.long 0x00 31. "RXPECOVF,Rx Parser Error Counter Overflow Bit When set this bit indicates that the MTL_RXP_ERROR_CNT (RXPEC) Counter field crossed the maximum limit" "0: Rx Parser Error count overflow not occurred,1: Rx Parser Error count overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPEC,Rx Parser Error count This 31-bit counter is implemented whenever a Rx Parser encounters following Error scenarios - Entry address >= NVE[] - Number Parsed Entries >= NPE[] - Entry address > EOF data entry address The counter is cleared when the.." group.long 0xCB0++0x03 line.long 0x00 "MTL_RXP_INDIRECT_ACC_CONTROL_STATUS,RXP Indirect Access Control and Status" bitfld.long 0x00 31. "STARTBUSY,FRP Instruction Table Access Busy When this bit is set to 1 by the software then it indicates to start the Read/Write operation from/to the Rx Parser Memory" "0: hardware not busy,1: hardware is busy (Read/Write operation.." newline bitfld.long 0x00 16. "WRRDN,Read Write Control When this bit is set to 1 indicates the write operation to the Rx Parser Memory" "0: Read operation to the Rx Parser Memory,1: Write operation to the Rx Parser Memory" newline hexmask.long.word 0x00 0.--9. 1. "ADDR,FRP Instruction Table Offset Address This field indicates the ADDR of the 32-bit entry in Rx parser instruction table" group.long 0xCB4++0x03 line.long 0x00 "MTL_RXP_INDIRECT_ACC_DATA,RXP Indirect Access Data" hexmask.long 0x00 0.--31. 1. "DATA,FRP Instruction Table Write/Read Data Software should write this register before issuing any write command" rgroup.long 0xCB8++0x03 line.long 0x00 "MTL_RXP_BYPASS_CNT,MTL_RXP_BYPASS_CNT" bitfld.long 0x00 31. "RXPBCOF,Rx Parser bypass Counter Overflow Bit" "0: Indicates that MTL_RXP_BYPASS_CNT[RXPBC]..,1: Indicates that MTL_RXP_BYPASS_CNT[RXPBC].." newline hexmask.long 0x00 0.--30. 1. "RXPBC,Rx Parser Bypass Count" group.long 0xD00++0x03 line.long 0x00 "MTL_TXQ0_OPERATION_MODE,Queue 0 Transmit Operation Mode" bitfld.long 0x00 16.--20. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue" "0: bf_32BYTES,1: bf_64BYTES,2: bf_96BYTES,3: bf_128BYTES,4: bf_192BYTES,5: bf_256BYTES,6: bf_384BYTES,7: bf_512BYTES" newline bitfld.long 0x00 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0" "0: Not enabled,1: Enable in AV mode (Reserved in non-AV),2: Enabled,?..." newline bitfld.long 0x00 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue" "0: Transmit Store and Forward is disabled,1: Transmit Store and Forward is enabled" newline bitfld.long 0x00 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values" "0: Flush Transmit Queue is disabled,1: Flush Transmit Queue is enabled" rgroup.long 0xD04++0x03 line.long 0x00 "MTL_TXQ0_UNDERFLOW,Queue 0 Underflow Counter" bitfld.long 0x00 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count" "0: Overflow not detected for Underflow Packet..,1: Overflow detected for Underflow Packet Counter" newline hexmask.long.word 0x00 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow" rgroup.long 0xD08++0x03 line.long 0x00 "MTL_TXQ0_DEBUG,Queue 0 Transmit Debug" bitfld.long 0x00 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full" "0: MTL Tx Status FIFO Full status is not detected,1: MTL Tx Status FIFO Full status is detected" newline bitfld.long 0x00 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission" "0: MTL Tx Queue Not Empty status is not detected,1: MTL Tx Queue Not Empty status is detected" newline bitfld.long 0x00 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue" "0: MTL Tx Queue Write Controller status is not..,1: MTL Tx Queue Write Controller status is.." newline bitfld.long 0x00 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller" "0: Idle state,1: Read state (transferring data to the MAC..,2: Waiting for pending Tx Status from the MAC..,3: Flushing the Tx queue because of the Packet.." newline bitfld.long 0x00 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "0: Transmit Queue in Pause status is not detected,1: Transmit Queue in Pause status is detected" rgroup.long 0xD14++0x03 line.long 0x00 "MTL_TXQ0_ETS_STATUS,Queue 0 ETS Status" hexmask.long.tbyte 0x00 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot" group.long 0xD18++0x03 line.long 0x00 "MTL_TXQ0_QUANTUM_WEIGHT,Queue 0 Quantum or Weights" hexmask.long.tbyte 0x00 0.--20. 1. "ISCQW,Quantum or Weights When the DCB operation is enabled with DWRR algorithm for Queue 0 traffic this field contains the quantum value in bytes to be added to credit during every queue scanning cycle" group.long 0xD2C++0x03 line.long 0x00 "MTL_Q0_INTERRUPT_CONTROL_STATUS,Queue 0 Interrupt Control Status" bitfld.long 0x00 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled" "0: Receive Queue Overflow Interrupt is disabled,1: Receive Queue Overflow Interrupt is enabled" newline bitfld.long 0x00 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet" "0: Receive Queue Overflow Interrupt Status not..,1: Receive Queue Overflow Interrupt Status.." newline bitfld.long 0x00 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated" "0: Average Bits Per Slot Interrupt is disabled,1: Average Bits Per Slot Interrupt is enabled" newline bitfld.long 0x00 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled" "0: Transmit Queue Underflow Interrupt Status is..,1: Transmit Queue Underflow Interrupt Status is.." newline bitfld.long 0x00 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value" "0: Average Bits Per Slot Interrupt Status not..,1: Average Bits Per Slot Interrupt Status detected" newline bitfld.long 0x00 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet" "0: Transmit Queue Underflow Interrupt Status not..,1: Transmit Queue Underflow Interrupt Status.." group.long 0xD30++0x03 line.long 0x00 "MTL_RXQ0_OPERATION_MODE,Queue 0 Receive Operation Mode" bitfld.long 0x00 20.--24. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 14.--17. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation" "0: Full minus 1 KB that is FULL 1 KB,1: Full minus 1,?..." newline bitfld.long 0x00 8.--11. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled" "0: Hardware Flow Control is disabled,1: Hardware Flow Control is enabled" newline bitfld.long 0x00 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine" "0: Dropping of TCP/IP Checksum Error Packets is..,1: Dropping of TCP/IP Checksum Error Packets is.." newline bitfld.long 0x00 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register" "0: Receive Queue Store and Forward is disabled,1: Receive Queue Store and Forward is enabled" newline bitfld.long 0x00 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow)" "0: Forward Error Packets is disabled,1: Forward Error Packets is enabled" newline bitfld.long 0x00 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC" "0: Forward Undersized Good Packets is disabled,1: Forward Undersized Good Packets is enabled" newline bitfld.long 0x00 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold" "0: bf_64BYTE,1: bf_32BYTE,2: bf_96BYTE,3: bf_128BYTE" rgroup.long 0xD34++0x03 line.long 0x00 "MTL_RXQ0_MISSED_PACKET_OVERFLOW_CNT,Queue 0 Missed Packet and Overflow Counter" bitfld.long 0x00 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit" "0: Missed Packet Counter overflow not detected,1: Missed Packet Counter overflow detected" newline hexmask.long.word 0x00 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue" newline bitfld.long 0x00 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit" "0: Overflow Counter overflow not detected,1: Overflow Counter overflow detected" newline hexmask.long.word 0x00 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow" rgroup.long 0xD38++0x03 line.long 0x00 "MTL_RXQ0_DEBUG,Queue 0 Receive Debug" hexmask.long.word 0x00 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue" newline bitfld.long 0x00 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue" "0: Rx Queue empty,1: Rx Queue fill-level below flow-control..,2: Rx Queue fill-level above flow-control..,3: Rx Queue full" newline bitfld.long 0x00 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller" "0: Idle state,1: Reading packet data,2: Reading packet status (or timestamp),3: Flushing the packet data and status" newline bitfld.long 0x00 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue" "0: MTL Rx Queue Write Controller Active Status..,1: MTL Rx Queue Write Controller Active Status.." group.long 0xD3C++0x03 line.long 0x00 "MTL_RXQ0_CONTROL,Queue 0 Receive Control" bitfld.long 0x00 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue" "0: Receive Queue Packet Arbitration is disabled,1: Receive Queue Packet Arbitration is enabled" newline bitfld.long 0x00 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0" "0,1,2,3,4,5,6,7" group.long 0xD40++0x03 line.long 0x00 "MTL_TXQ1_OPERATION_MODE,Queue 1 Transmit Operation Mode" bitfld.long 0x00 16.--20. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue" "0: bf_32BYTES,1: bf_64BYTES,2: bf_96BYTES,3: bf_128BYTES,4: bf_192BYTES,5: bf_256BYTES,6: bf_384BYTES,7: bf_512BYTES" newline bitfld.long 0x00 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0" "0: Not enabled,1: Enable in AV mode (Reserved in non-AV),2: Enabled,?..." newline bitfld.long 0x00 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue" "0: Transmit Store and Forward is disabled,1: Transmit Store and Forward is enabled" newline bitfld.long 0x00 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values" "0: Flush Transmit Queue is disabled,1: Flush Transmit Queue is enabled" rgroup.long 0xD44++0x03 line.long 0x00 "MTL_TXQ1_UNDERFLOW,Queue 1 Underflow Counter" bitfld.long 0x00 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count" "0: Overflow not detected for Underflow Packet..,1: Overflow detected for Underflow Packet Counter" newline hexmask.long.word 0x00 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow" rgroup.long 0xD48++0x03 line.long 0x00 "MTL_TXQ1_DEBUG,Queue 1 Transmit Debug" bitfld.long 0x00 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full" "0: MTL Tx Status FIFO Full status is not detected,1: MTL Tx Status FIFO Full status is detected" newline bitfld.long 0x00 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission" "0: MTL Tx Queue Not Empty status is not detected,1: MTL Tx Queue Not Empty status is detected" newline bitfld.long 0x00 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue" "0: MTL Tx Queue Write Controller status is not..,1: MTL Tx Queue Write Controller status is.." newline bitfld.long 0x00 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller" "0: Idle state,1: Read state (transferring data to the MAC..,2: Waiting for pending Tx Status from the MAC..,3: Flushing the Tx queue because of the Packet.." newline bitfld.long 0x00 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "0: Transmit Queue in Pause status is not detected,1: Transmit Queue in Pause status is detected" group.long 0xD50++0x03 line.long 0x00 "MTL_TXQ1_ETS_CONTROL,Queue 1 ETS Control" bitfld.long 0x00 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "0: bf_1_SLOT,1: bf_2_SLOT,2: bf_4_SLOT,3: bf_8_SLOT,4: bf_16_SLOT,?..." newline bitfld.long 0x00 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1" "0: Credit Control is disabled,1: Credit Control is enabled" newline bitfld.long 0x00 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic" "0: CBS Algorithm is disabled,1: CBS Algorithm is enabled" rgroup.long 0xD54++0x03 line.long 0x00 "MTL_TXQ1_ETS_STATUS,Queue 1 ETS Status" hexmask.long.tbyte 0x00 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot" group.long 0xD58++0x03 line.long 0x00 "MTL_TXQ1_QUANTUM_WEIGHT,Queue 1 idleSlopeCredit Quantum or Weights" hexmask.long.tbyte 0x00 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1" group.long 0xD5C++0x03 line.long 0x00 "MTL_TXQ1_SENDSLOPECREDIT,Queue 1 sendSlopeCredit" hexmask.long.word 0x00 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1" group.long 0xD60++0x03 line.long 0x00 "MTL_TXQ1_HICREDIT,Queue 1 hiCredit" hexmask.long 0x00 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm" group.long 0xD64++0x03 line.long 0x00 "MTL_TXQ1_LOCREDIT,Queue 1 loCredit" hexmask.long 0x00 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm" group.long 0xD6C++0x03 line.long 0x00 "MTL_Q1_INTERRUPT_CONTROL_STATUS,Queue 1 Interrupt Control Status" bitfld.long 0x00 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled" "0: Receive Queue Overflow Interrupt is disabled,1: Receive Queue Overflow Interrupt is enabled" newline bitfld.long 0x00 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet" "0: Receive Queue Overflow Interrupt Status not..,1: Receive Queue Overflow Interrupt Status.." newline bitfld.long 0x00 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated" "0: Average Bits Per Slot Interrupt is disabled,1: Average Bits Per Slot Interrupt is enabled" newline bitfld.long 0x00 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled" "0: Transmit Queue Underflow Interrupt Status is..,1: Transmit Queue Underflow Interrupt Status is.." newline bitfld.long 0x00 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value" "0: Average Bits Per Slot Interrupt Status not..,1: Average Bits Per Slot Interrupt Status detected" newline bitfld.long 0x00 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet" "0: Transmit Queue Underflow Interrupt Status not..,1: Transmit Queue Underflow Interrupt Status.." group.long 0xD70++0x03 line.long 0x00 "MTL_RXQ1_OPERATION_MODE,Queue 1 Receive Operation Mode" bitfld.long 0x00 20.--24. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 14.--17. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation" "0: Full minus 1 KB that is FULL 1 KB,1: Full minus 1,?..." newline bitfld.long 0x00 8.--11. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled" "0: Hardware Flow Control is disabled,1: Hardware Flow Control is enabled" newline bitfld.long 0x00 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine" "0: Dropping of TCP/IP Checksum Error Packets is..,1: Dropping of TCP/IP Checksum Error Packets is.." newline bitfld.long 0x00 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register" "0: Receive Queue Store and Forward is disabled,1: Receive Queue Store and Forward is enabled" newline bitfld.long 0x00 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow)" "0: Forward Error Packets is disabled,1: Forward Error Packets is enabled" newline bitfld.long 0x00 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC" "0: Forward Undersized Good Packets is disabled,1: Forward Undersized Good Packets is enabled" newline bitfld.long 0x00 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold" "0: bf_64BYTE,1: bf_32BYTE,2: bf_96BYTE,3: bf_128BYTE" rgroup.long 0xD74++0x03 line.long 0x00 "MTL_RXQ1_MISSED_PACKET_OVERFLOW_CNT,Queue 1 Missed Packet and Overflow Counter" bitfld.long 0x00 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit" "0: Missed Packet Counter overflow not detected,1: Missed Packet Counter overflow detected" newline hexmask.long.word 0x00 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue" newline bitfld.long 0x00 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit" "0: Overflow Counter overflow not detected,1: Overflow Counter overflow detected" newline hexmask.long.word 0x00 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow" rgroup.long 0xD78++0x03 line.long 0x00 "MTL_RXQ1_DEBUG,Queue 1 Receive Debug" hexmask.long.word 0x00 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue" newline bitfld.long 0x00 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue" "0: Rx Queue empty,1: Rx Queue fill-level below flow-control..,2: Rx Queue fill-level above flow-control..,3: Rx Queue full" newline bitfld.long 0x00 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller" "0: Idle state,1: Reading packet data,2: Reading packet status (or timestamp),3: Flushing the packet data and status" newline bitfld.long 0x00 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue" "0: MTL Rx Queue Write Controller Active Status..,1: MTL Rx Queue Write Controller Active Status.." group.long 0xD7C++0x03 line.long 0x00 "MTL_RXQ1_CONTROL,Queue 1 Receive Control" bitfld.long 0x00 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue" "0: Receive Queue Packet Arbitration is disabled,1: Receive Queue Packet Arbitration is enabled" newline bitfld.long 0x00 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0" "0,1,2,3,4,5,6,7" group.long 0xD80++0x03 line.long 0x00 "MTL_TXQ2_OPERATION_MODE,Queue 2 Transmit Operation Mode" bitfld.long 0x00 16.--20. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue" "0: bf_32BYTES,1: bf_64BYTES,2: bf_96BYTES,3: bf_128BYTES,4: bf_192BYTES,5: bf_256BYTES,6: bf_384BYTES,7: bf_512BYTES" newline bitfld.long 0x00 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0" "0: Not enabled,1: Enable in AV mode (Reserved in non-AV),2: Enabled,?..." newline bitfld.long 0x00 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue" "0: Transmit Store and Forward is disabled,1: Transmit Store and Forward is enabled" newline bitfld.long 0x00 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values" "0: Flush Transmit Queue is disabled,1: Flush Transmit Queue is enabled" rgroup.long 0xD84++0x03 line.long 0x00 "MTL_TXQ2_UNDERFLOW,Queue 2 Underflow Counter" bitfld.long 0x00 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count" "0: Overflow not detected for Underflow Packet..,1: Overflow detected for Underflow Packet Counter" newline hexmask.long.word 0x00 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow" rgroup.long 0xD88++0x03 line.long 0x00 "MTL_TXQ2_DEBUG,Queue 2 Transmit Debug" bitfld.long 0x00 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full" "0: MTL Tx Status FIFO Full status is not detected,1: MTL Tx Status FIFO Full status is detected" newline bitfld.long 0x00 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission" "0: MTL Tx Queue Not Empty status is not detected,1: MTL Tx Queue Not Empty status is detected" newline bitfld.long 0x00 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue" "0: MTL Tx Queue Write Controller status is not..,1: MTL Tx Queue Write Controller status is.." newline bitfld.long 0x00 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller" "0: Idle state,1: Read state (transferring data to the MAC..,2: Waiting for pending Tx Status from the MAC..,3: Flushing the Tx queue because of the Packet.." newline bitfld.long 0x00 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "0: Transmit Queue in Pause status is not detected,1: Transmit Queue in Pause status is detected" group.long 0xD90++0x03 line.long 0x00 "MTL_TXQ2_ETS_CONTROL,Queue 2 ETS Control" bitfld.long 0x00 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[N]_SLOT_INTERVAL register) over which the average transmitted bits per slot provided in the.." "0: bf_1_SLOT,1: bf_2_SLOT,2: bf_4_SLOT,3: bf_8_SLOT,4: bf_16_SLOT,?..." newline bitfld.long 0x00 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1" "0: Credit Control is disabled,1: Credit Control is enabled" newline bitfld.long 0x00 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic" "0: CBS Algorithm is disabled,1: CBS Algorithm is enabled" rgroup.long 0xD94++0x03 line.long 0x00 "MTL_TXQ2_ETS_STATUS,Queue 2 ETS Status" hexmask.long.tbyte 0x00 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot" group.long 0xD98++0x03 line.long 0x00 "MTL_TXQ2_QUANTUM_WEIGHT,Queue 2 idleSlopeCredit Quantum or Weights" hexmask.long.tbyte 0x00 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1" group.long 0xD9C++0x03 line.long 0x00 "MTL_TXQ2_SENDSLOPECREDIT,Queue 2 sendSlopeCredit" hexmask.long.word 0x00 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1" group.long 0xDA0++0x03 line.long 0x00 "MTL_TXQ2_HICREDIT,Queue 2 hiCredit" hexmask.long 0x00 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm" group.long 0xDA4++0x03 line.long 0x00 "MTL_TXQ2_LOCREDIT,Queue 2 loCredit" hexmask.long 0x00 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm" group.long 0xDAC++0x03 line.long 0x00 "MTL_Q2_INTERRUPT_CONTROL_STATUS,Queue 2 Interrupt Control Status" bitfld.long 0x00 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled" "0: Receive Queue Overflow Interrupt is disabled,1: Receive Queue Overflow Interrupt is enabled" newline bitfld.long 0x00 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet" "0: Receive Queue Overflow Interrupt Status not..,1: Receive Queue Overflow Interrupt Status.." newline bitfld.long 0x00 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated" "0: Average Bits Per Slot Interrupt is disabled,1: Average Bits Per Slot Interrupt is enabled" newline bitfld.long 0x00 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled" "0: Transmit Queue Underflow Interrupt Status is..,1: Transmit Queue Underflow Interrupt Status is.." newline bitfld.long 0x00 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value" "0: Average Bits Per Slot Interrupt Status not..,1: Average Bits Per Slot Interrupt Status detected" newline bitfld.long 0x00 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet" "0: Transmit Queue Underflow Interrupt Status not..,1: Transmit Queue Underflow Interrupt Status.." group.long 0xDB0++0x03 line.long 0x00 "MTL_RXQ2_OPERATION_MODE,Queue 2 Receive Operation Mode" bitfld.long 0x00 20.--24. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 14.--17. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation" "0: Full minus 1 KB that is FULL 1 KB,1: Full minus 1,?..." newline bitfld.long 0x00 8.--11. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled" "0: Hardware Flow Control is disabled,1: Hardware Flow Control is enabled" newline bitfld.long 0x00 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine" "0: Dropping of TCP/IP Checksum Error Packets is..,1: Dropping of TCP/IP Checksum Error Packets is.." newline bitfld.long 0x00 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register" "0: Receive Queue Store and Forward is disabled,1: Receive Queue Store and Forward is enabled" newline bitfld.long 0x00 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow)" "0: Forward Error Packets is disabled,1: Forward Error Packets is enabled" newline bitfld.long 0x00 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC" "0: Forward Undersized Good Packets is disabled,1: Forward Undersized Good Packets is enabled" newline bitfld.long 0x00 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold" "0: bf_64BYTE,1: bf_32BYTE,2: bf_96BYTE,3: bf_128BYTE" rgroup.long 0xDB4++0x03 line.long 0x00 "MTL_RXQ2_MISSED_PACKET_OVERFLOW_CNT,Queue 2 Missed Packet and Overflow Counter" bitfld.long 0x00 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit" "0: Missed Packet Counter overflow not detected,1: Missed Packet Counter overflow detected" newline hexmask.long.word 0x00 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue" newline bitfld.long 0x00 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit" "0: Overflow Counter overflow not detected,1: Overflow Counter overflow detected" newline hexmask.long.word 0x00 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow" rgroup.long 0xDB8++0x03 line.long 0x00 "MTL_RXQ2_DEBUG,Queue 2 Receive Debug" hexmask.long.word 0x00 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue" newline bitfld.long 0x00 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue" "0: Rx Queue empty,1: Rx Queue fill-level below flow-control..,2: Rx Queue fill-level above flow-control..,3: Rx Queue full" newline bitfld.long 0x00 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller" "0: Idle state,1: Reading packet data,2: Reading packet status (or timestamp),3: Flushing the packet data and status" newline bitfld.long 0x00 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue" "0: MTL Rx Queue Write Controller Active Status..,1: MTL Rx Queue Write Controller Active Status.." group.long 0xDBC++0x03 line.long 0x00 "MTL_RXQ2_CONTROL,Queue 2 Receive Control" bitfld.long 0x00 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue" "0: Receive Queue Packet Arbitration is disabled,1: Receive Queue Packet Arbitration is enabled" newline bitfld.long 0x00 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0" "0,1,2,3,4,5,6,7" group.long 0xDC0++0x03 line.long 0x00 "MTL_TXQ3_OPERATION_MODE,Queue 3 Transmit Operation Mode" bitfld.long 0x00 16.--20. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue" "0: bf_32BYTES,1: bf_64BYTES,2: bf_96BYTES,3: bf_128BYTES,4: bf_192BYTES,5: bf_256BYTES,6: bf_384BYTES,7: bf_512BYTES" newline bitfld.long 0x00 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0" "0: Not enabled,1: Enable in AV mode (Reserved in non-AV),2: Enabled,?..." newline bitfld.long 0x00 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue" "0: Transmit Store and Forward is disabled,1: Transmit Store and Forward is enabled" newline bitfld.long 0x00 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values" "0: Flush Transmit Queue is disabled,1: Flush Transmit Queue is enabled" rgroup.long 0xDC4++0x03 line.long 0x00 "MTL_TXQ3_UNDERFLOW,Queue 3 Underflow Counter" bitfld.long 0x00 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count" "0: Overflow not detected for Underflow Packet..,1: Overflow detected for Underflow Packet Counter" newline hexmask.long.word 0x00 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow" rgroup.long 0xDC8++0x03 line.long 0x00 "MTL_TXQ3_DEBUG,Queue 3 Transmit Debug" bitfld.long 0x00 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full" "0: MTL Tx Status FIFO Full status is not detected,1: MTL Tx Status FIFO Full status is detected" newline bitfld.long 0x00 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission" "0: MTL Tx Queue Not Empty status is not detected,1: MTL Tx Queue Not Empty status is detected" newline bitfld.long 0x00 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue" "0: MTL Tx Queue Write Controller status is not..,1: MTL Tx Queue Write Controller status is.." newline bitfld.long 0x00 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller" "0: Idle state,1: Read state (transferring data to the MAC..,2: Waiting for pending Tx Status from the MAC..,3: Flushing the Tx queue because of the Packet.." newline bitfld.long 0x00 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "0: Transmit Queue in Pause status is not detected,1: Transmit Queue in Pause status is detected" group.long 0xDD0++0x03 line.long 0x00 "MTL_TXQ3_ETS_CONTROL,Queue 3 ETS Control" bitfld.long 0x00 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[N]_SLOT_INTERVAL register) over which the average transmitted bits per slot provided in the.." "0: bf_1_SLOT,1: bf_2_SLOT,2: bf_4_SLOT,3: bf_8_SLOT,4: bf_16_SLOT,?..." newline bitfld.long 0x00 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1" "0: Credit Control is disabled,1: Credit Control is enabled" newline bitfld.long 0x00 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic" "0: CBS Algorithm is disabled,1: CBS Algorithm is enabled" rgroup.long 0xDD4++0x03 line.long 0x00 "MTL_TXQ3_ETS_STATUS,Queue 3 ETS Status" hexmask.long.tbyte 0x00 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot" group.long 0xDD8++0x03 line.long 0x00 "MTL_TXQ3_QUANTUM_WEIGHT,Queue 3 idleSlopeCredit Quantum or Weights" hexmask.long.tbyte 0x00 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1" group.long 0xDDC++0x03 line.long 0x00 "MTL_TXQ3_SENDSLOPECREDIT,Queue 3 sendSlopeCredit" hexmask.long.word 0x00 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1" group.long 0xDE0++0x03 line.long 0x00 "MTL_TXQ3_HICREDIT,Queue 3 hiCredit" hexmask.long 0x00 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm" group.long 0xDE4++0x03 line.long 0x00 "MTL_TXQ3_LOCREDIT,Queue 3 loCredit" hexmask.long 0x00 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm" group.long 0xDEC++0x03 line.long 0x00 "MTL_Q3_INTERRUPT_CONTROL_STATUS,Queue 3 Interrupt Control Status" bitfld.long 0x00 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled" "0: Receive Queue Overflow Interrupt is disabled,1: Receive Queue Overflow Interrupt is enabled" newline bitfld.long 0x00 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet" "0: Receive Queue Overflow Interrupt Status not..,1: Receive Queue Overflow Interrupt Status.." newline bitfld.long 0x00 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated" "0: Average Bits Per Slot Interrupt is disabled,1: Average Bits Per Slot Interrupt is enabled" newline bitfld.long 0x00 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled" "0: Transmit Queue Underflow Interrupt Status is..,1: Transmit Queue Underflow Interrupt Status is.." newline bitfld.long 0x00 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value" "0: Average Bits Per Slot Interrupt Status not..,1: Average Bits Per Slot Interrupt Status detected" newline bitfld.long 0x00 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet" "0: Transmit Queue Underflow Interrupt Status not..,1: Transmit Queue Underflow Interrupt Status.." group.long 0xDF0++0x03 line.long 0x00 "MTL_RXQ3_OPERATION_MODE,Queue 3 Receive Operation Mode" bitfld.long 0x00 20.--24. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 14.--17. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation" "0: Full minus 1 KB that is FULL 1 KB,1: Full minus 1,?..." newline bitfld.long 0x00 8.--11. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled" "0: Hardware Flow Control is disabled,1: Hardware Flow Control is enabled" newline bitfld.long 0x00 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine" "0: Dropping of TCP/IP Checksum Error Packets is..,1: Dropping of TCP/IP Checksum Error Packets is.." newline bitfld.long 0x00 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register" "0: Receive Queue Store and Forward is disabled,1: Receive Queue Store and Forward is enabled" newline bitfld.long 0x00 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow)" "0: Forward Error Packets is disabled,1: Forward Error Packets is enabled" newline bitfld.long 0x00 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC" "0: Forward Undersized Good Packets is disabled,1: Forward Undersized Good Packets is enabled" newline bitfld.long 0x00 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold" "0: bf_64BYTE,1: bf_32BYTE,2: bf_96BYTE,3: bf_128BYTE" rgroup.long 0xDF4++0x03 line.long 0x00 "MTL_RXQ3_MISSED_PACKET_OVERFLOW_CNT,Queue 3 Missed Packet and Overflow Counter" bitfld.long 0x00 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit" "0: Missed Packet Counter overflow not detected,1: Missed Packet Counter overflow detected" newline hexmask.long.word 0x00 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue" newline bitfld.long 0x00 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit" "0: Overflow Counter overflow not detected,1: Overflow Counter overflow detected" newline hexmask.long.word 0x00 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow" rgroup.long 0xDF8++0x03 line.long 0x00 "MTL_RXQ3_DEBUG,Queue 3 Receive Debug" hexmask.long.word 0x00 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue" newline bitfld.long 0x00 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue" "0: Rx Queue empty,1: Rx Queue fill-level below flow-control..,2: Rx Queue fill-level above flow-control..,3: Rx Queue full" newline bitfld.long 0x00 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller" "0: Idle state,1: Reading packet data,2: Reading packet status (or timestamp),3: Flushing the packet data and status" newline bitfld.long 0x00 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue" "0: MTL Rx Queue Write Controller Active Status..,1: MTL Rx Queue Write Controller Active Status.." group.long 0xDFC++0x03 line.long 0x00 "MTL_RXQ3_CONTROL,Queue 3 Receive Control" bitfld.long 0x00 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue" "0: Receive Queue Packet Arbitration is disabled,1: Receive Queue Packet Arbitration is enabled" newline bitfld.long 0x00 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0" "0,1,2,3,4,5,6,7" group.long 0xE00++0x03 line.long 0x00 "MTL_TXQ4_OPERATION_MODE,Queue 4 Transmit Operation Mode" bitfld.long 0x00 16.--20. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue" "0: bf_32BYTES,1: bf_64BYTES,2: bf_96BYTES,3: bf_128BYTES,4: bf_192BYTES,5: bf_256BYTES,6: bf_384BYTES,7: bf_512BYTES" newline bitfld.long 0x00 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0" "0: Not enabled,1: Enable in AV mode (Reserved in non-AV),2: Enabled,?..." newline bitfld.long 0x00 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue" "0: Transmit Store and Forward is disabled,1: Transmit Store and Forward is enabled" newline bitfld.long 0x00 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values" "0: Flush Transmit Queue is disabled,1: Flush Transmit Queue is enabled" rgroup.long 0xE04++0x03 line.long 0x00 "MTL_TXQ4_UNDERFLOW,Queue 4 Underflow Counter" bitfld.long 0x00 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count" "0: Overflow not detected for Underflow Packet..,1: Overflow detected for Underflow Packet Counter" newline hexmask.long.word 0x00 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow" rgroup.long 0xE08++0x03 line.long 0x00 "MTL_TXQ4_DEBUG,Queue 4 Transmit Debug" bitfld.long 0x00 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full" "0: MTL Tx Status FIFO Full status is not detected,1: MTL Tx Status FIFO Full status is detected" newline bitfld.long 0x00 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission" "0: MTL Tx Queue Not Empty status is not detected,1: MTL Tx Queue Not Empty status is detected" newline bitfld.long 0x00 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue" "0: MTL Tx Queue Write Controller status is not..,1: MTL Tx Queue Write Controller status is.." newline bitfld.long 0x00 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller" "0: Idle state,1: Read state (transferring data to the MAC..,2: Waiting for pending Tx Status from the MAC..,3: Flushing the Tx queue because of the Packet.." newline bitfld.long 0x00 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "0: Transmit Queue in Pause status is not detected,1: Transmit Queue in Pause status is detected" group.long 0xE10++0x03 line.long 0x00 "MTL_TXQ4_ETS_CONTROL,Queue 4 ETS Control" bitfld.long 0x00 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[N]_SLOT_INTERVAL register) over which the average transmitted bits per slot provided in the.." "0: bf_1_SLOT,1: bf_2_SLOT,2: bf_4_SLOT,3: bf_8_SLOT,4: bf_16_SLOT,?..." newline bitfld.long 0x00 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1" "0: Credit Control is disabled,1: Credit Control is enabled" newline bitfld.long 0x00 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic" "0: CBS Algorithm is disabled,1: CBS Algorithm is enabled" rgroup.long 0xE14++0x03 line.long 0x00 "MTL_TXQ4_ETS_STATUS,Queue 4 ETS Status" hexmask.long.tbyte 0x00 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot" group.long 0xE18++0x03 line.long 0x00 "MTL_TXQ4_QUANTUM_WEIGHT,Queue 4 idleSlopeCredit Quantum or Weights" hexmask.long.tbyte 0x00 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1" group.long 0xE1C++0x03 line.long 0x00 "MTL_TXQ4_SENDSLOPECREDIT,Queue 4 sendSlopeCredit" hexmask.long.word 0x00 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1" group.long 0xE20++0x03 line.long 0x00 "MTL_TXQ4_HICREDIT,Queue 4 hiCredit" hexmask.long 0x00 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm" group.long 0xE24++0x03 line.long 0x00 "MTL_TXQ4_LOCREDIT,Queue 4 loCredit" hexmask.long 0x00 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm" group.long 0xE2C++0x03 line.long 0x00 "MTL_Q4_INTERRUPT_CONTROL_STATUS,Queue 4 Interrupt Control Status" bitfld.long 0x00 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled" "0: Receive Queue Overflow Interrupt is disabled,1: Receive Queue Overflow Interrupt is enabled" newline bitfld.long 0x00 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet" "0: Receive Queue Overflow Interrupt Status not..,1: Receive Queue Overflow Interrupt Status.." newline bitfld.long 0x00 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated" "0: Average Bits Per Slot Interrupt is disabled,1: Average Bits Per Slot Interrupt is enabled" newline bitfld.long 0x00 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled" "0: Transmit Queue Underflow Interrupt Status is..,1: Transmit Queue Underflow Interrupt Status is.." newline bitfld.long 0x00 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value" "0: Average Bits Per Slot Interrupt Status not..,1: Average Bits Per Slot Interrupt Status detected" newline bitfld.long 0x00 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet" "0: Transmit Queue Underflow Interrupt Status not..,1: Transmit Queue Underflow Interrupt Status.." group.long 0xE30++0x03 line.long 0x00 "MTL_RXQ4_OPERATION_MODE,Queue 4 Receive Operation Mode" bitfld.long 0x00 20.--24. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 14.--17. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation" "0: Full minus 1 KB that is FULL 1 KB,1: Full minus 1,?..." newline bitfld.long 0x00 8.--11. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled" "0: Hardware Flow Control is disabled,1: Hardware Flow Control is enabled" newline bitfld.long 0x00 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine" "0: Dropping of TCP/IP Checksum Error Packets is..,1: Dropping of TCP/IP Checksum Error Packets is.." newline bitfld.long 0x00 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register" "0: Receive Queue Store and Forward is disabled,1: Receive Queue Store and Forward is enabled" newline bitfld.long 0x00 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow)" "0: Forward Error Packets is disabled,1: Forward Error Packets is enabled" newline bitfld.long 0x00 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC" "0: Forward Undersized Good Packets is disabled,1: Forward Undersized Good Packets is enabled" newline bitfld.long 0x00 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold" "0: bf_64BYTE,1: bf_32BYTE,2: bf_96BYTE,3: bf_128BYTE" rgroup.long 0xE34++0x03 line.long 0x00 "MTL_RXQ4_MISSED_PACKET_OVERFLOW_CNT,Queue 4 Missed Packet and Overflow Counter" bitfld.long 0x00 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit" "0: Missed Packet Counter overflow not detected,1: Missed Packet Counter overflow detected" newline hexmask.long.word 0x00 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue" newline bitfld.long 0x00 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit" "0: Overflow Counter overflow not detected,1: Overflow Counter overflow detected" newline hexmask.long.word 0x00 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow" rgroup.long 0xE38++0x03 line.long 0x00 "MTL_RXQ4_DEBUG,Queue 4 Receive Debug" hexmask.long.word 0x00 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue" newline bitfld.long 0x00 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue" "0: Rx Queue empty,1: Rx Queue fill-level below flow-control..,2: Rx Queue fill-level above flow-control..,3: Rx Queue full" newline bitfld.long 0x00 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller" "0: Idle state,1: Reading packet data,2: Reading packet status (or timestamp),3: Flushing the packet data and status" newline bitfld.long 0x00 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue" "0: MTL Rx Queue Write Controller Active Status..,1: MTL Rx Queue Write Controller Active Status.." group.long 0xE3C++0x03 line.long 0x00 "MTL_RXQ4_CONTROL,Queue 4 Receive Control" bitfld.long 0x00 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue" "0: Receive Queue Packet Arbitration is disabled,1: Receive Queue Packet Arbitration is enabled" newline bitfld.long 0x00 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0" "0,1,2,3,4,5,6,7" group.long 0x1000++0x03 line.long 0x00 "DMA_MODE,DMA Bus Mode" bitfld.long 0x00 16.--17. "INTM,Interrupt Mode This field defines the interrupt mode of DWC_ether_qos" "0: See above description,1: See above description,2: See above description,?..." newline bitfld.long 0x00 8. "DSPW,Descriptor Posted Write When this bit is set to 0 the descriptor writes are always non-posted" "0: Descriptor Posted Write is disabled,1: Descriptor Posted Write is enabled" newline bitfld.long 0x00 0. "SWR,Software Reset When this bit is set the MAC and the DMA controller reset the logic and all internal registers of the DMA MTL and MAC" "0: Software Reset is disabled,1: Software Reset is enabled" group.long 0x1004++0x03 line.long 0x00 "DMA_SYSBUS_MODE,DMA System Bus Mode" bitfld.long 0x00 31. "EN_LPI,Enable Low Power Interface (LPI) When set to 1 this bit enables the LPI mode supported by the EQOS-AXI configuration and accepts the LPI request from the AXI System Clock controller" "0: Low Power Interface (LPI) is disabled,1: Low Power Interface (LPI) is enabled" newline bitfld.long 0x00 30. "LPI_XIT_PKT,Unlock on Magic Packet or Remote Wake-Up Packet When set to 1 this bit enables the AXI master to come out of the LPI mode only when the magic packet or remote wake-up packet is received" "0: Unlock on Magic Packet or Remote Wake-Up..,1: Unlock on Magic Packet or Remote Wake-Up.." newline bitfld.long 0x00 24.--27. "WR_OSR_LMT,AXI Maximum Write Outstanding Request Limit This value limits the maximum outstanding request on the AXI write interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "RD_OSR_LMT,AXI Maximum Read Outstanding Request Limit This value limits the maximum outstanding request on the AXI read interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 13. "ONEKBBE,1 KB Boundary Crossing Enable for the EQOS-AXI Master When set the burst transfers performed by the EQOS-AXI master do not cross 1 KB boundary" "0: 1 KB Boundary Crossing for the EQOS-AXI..,1: 1 KB Boundary Crossing for the EQOS-AXI.." newline bitfld.long 0x00 12. "AAL,Address-Aligned Beats When this bit is set to 1 the EQOS-AXI or EQOS-AHB master performs address-aligned burst transfers on Read and Write channels" "0: Address-Aligned Beats is disabled,1: Address-Aligned Beats is enabled" newline bitfld.long 0x00 10. "AALE,Automatic AXI LPI enable When set to 1 enables the AXI master to enter into LPI state when there is no activity in the DWC_ether_qos for number of system clock cycles programmed in the LPIEI field of DMA_AXI_LPI_ENTRY_INTERVAL register" "0: Automatic AXI LPI is disabled,1: Automatic AXI LPI is enabled" newline bitfld.long 0x00 3. "BLEN16,AXI Burst Length 16 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 16 on the AXI interface" "0: No effect,1: AXI Burst Length 16" newline bitfld.long 0x00 2. "BLEN8,AXI Burst Length 8 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 8 on the AXI interface" "0: No effect,1: AXI Burst Length 8" newline bitfld.long 0x00 1. "BLEN4,AXI Burst Length 4 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 4 on the AXI interface" "0: No effect,1: AXI Burst Length 4" newline bitfld.long 0x00 0. "FB,Fixed Burst Length When this bit is set to 1 the EQOS-AXI master initiates burst transfers of specified lengths as given below" "0: Fixed Burst Length is disabled,1: Fixed Burst Length is enabled" rgroup.long 0x1008++0x03 line.long 0x00 "DMA_INTERRUPT_STATUS,DMA Interrupt Status" bitfld.long 0x00 17. "MACIS,MAC Interrupt Status This bit indicates an interrupt event in the MAC" "0: MAC Interrupt Status not detected,1: MAC Interrupt Status detected" newline bitfld.long 0x00 16. "MTLIS,MTL Interrupt Status This bit indicates an interrupt event in the MTL" "0: MTL Interrupt Status not detected,1: MTL Interrupt Status detected" newline bitfld.long 0x00 4. "DC4IS,DMA Channel 4 Interrupt Status This bit indicates an interrupt event in DMA Channel 4" "0: DMA Channel 4 Interrupt Status not detected,1: DMA Channel 4 Interrupt Status detected" newline bitfld.long 0x00 3. "DC3IS,DMA Channel 3 Interrupt Status This bit indicates an interrupt event in DMA Channel 3" "0: DMA Channel 3 Interrupt Status not detected,1: DMA Channel 3 Interrupt Status detected" newline bitfld.long 0x00 2. "DC2IS,DMA Channel 2 Interrupt Status This bit indicates an interrupt event in DMA Channel 2" "0: DMA Channel 2 Interrupt Status not detected,1: DMA Channel 2 Interrupt Status detected" newline bitfld.long 0x00 1. "DC1IS,DMA Channel 1 Interrupt Status This bit indicates an interrupt event in DMA Channel 1" "0: DMA Channel 1 Interrupt Status not detected,1: DMA Channel 1 Interrupt Status detected" newline bitfld.long 0x00 0. "DC0IS,DMA Channel 0 Interrupt Status This bit indicates an interrupt event in DMA Channel 0" "0: DMA Channel 0 Interrupt Status not detected,1: DMA Channel 0 Interrupt Status detected" rgroup.long 0x100C++0x03 line.long 0x00 "DMA_DEBUG_STATUS0,DMA Debug Status 0" bitfld.long 0x00 28.--31. "TPS2,DMA Channel 2 Transmit Process State This field indicates the Tx DMA FSM state for Channel 2" "0: Stopped (Reset or Stop Transmit Command issued),1: Running (Fetching Tx Transfer Descriptor),2: Running (Waiting for status),3: Running (Reading Data from system memory..,4: Timestamp write state,?,6: Suspended (Tx Descriptor Unavailable or Tx..,7: Running (Closing Tx Descriptor),?..." newline bitfld.long 0x00 24.--27. "RPS2,DMA Channel 2 Receive Process State This field indicates the Rx DMA FSM state for Channel 2" "0: Stopped (Reset or Stop Receive Command issued),1: Running (Fetching Rx Transfer Descriptor),?,3: Running (Waiting for Rx packet),4: Suspended (Rx Descriptor Unavailable),5: Running (Closing the Rx Descriptor),6: Timestamp write state,7: Running (Transferring the received packet..,?..." newline bitfld.long 0x00 20.--23. "TPS1,DMA Channel 1 Transmit Process State This field indicates the Tx DMA FSM state for Channel 1" "0: Stopped (Reset or Stop Transmit Command issued),1: Running (Fetching Tx Transfer Descriptor),2: Running (Waiting for status),3: Running (Reading Data from system memory..,4: Timestamp write state,?,6: Suspended (Tx Descriptor Unavailable or Tx..,7: Running (Closing Tx Descriptor),?..." newline bitfld.long 0x00 16.--19. "RPS1,DMA Channel 1 Receive Process State This field indicates the Rx DMA FSM state for Channel 1" "0: Stopped (Reset or Stop Receive Command issued),1: Running (Fetching Rx Transfer Descriptor),?,3: Running (Waiting for Rx packet),4: Suspended (Rx Descriptor Unavailable),5: Running (Closing the Rx Descriptor),6: Timestamp write state,7: Running (Transferring the received packet..,?..." newline bitfld.long 0x00 12.--15. "TPS0,DMA Channel 0 Transmit Process State This field indicates the Tx DMA FSM state for Channel 0" "0: Stopped (Reset or Stop Transmit Command issued),1: Running (Fetching Tx Transfer Descriptor),2: Running (Waiting for status),3: Running (Reading Data from system memory..,4: Timestamp write state,?,6: Suspended (Tx Descriptor Unavailable or Tx..,7: Running (Closing Tx Descriptor),?..." newline bitfld.long 0x00 8.--11. "RPS0,DMA Channel 0 Receive Process State This field indicates the Rx DMA FSM state for Channel 0" "0: Stopped (Reset or Stop Receive Command issued),1: Running (Fetching Rx Transfer Descriptor),?,3: Running (Waiting for Rx packet),4: Suspended (Rx Descriptor Unavailable),5: Running (Closing the Rx Descriptor),6: Timestamp write state,7: Running (Transferring the received packet..,?..." newline bitfld.long 0x00 1. "AXRHSTS,AXI Master Read Channel Status When high this bit indicates that the read channel of the AXI master is active and it is transferring the data" "0: AXI Master Read Channel Status not detected,1: AXI Master Read Channel Status detected" newline bitfld.long 0x00 0. "AXWHSTS,AXI Master Write Channel When high this bit indicates that the write channel of the AXI master is active and it is transferring data" "0: AXI Master Write Channel or AHB Master Status..,1: AXI Master Write Channel or AHB Master Status.." rgroup.long 0x1010++0x03 line.long 0x00 "DMA_DEBUG_STATUS1,DMA Debug Status 1" bitfld.long 0x00 12.--15. "TPS4,DMA Channel 4 Transmit Process State This field indicates the Tx DMA FSM state for Channel 4" "0: Stopped (Reset or Stop Transmit Command issued),1: Running (Fetching Tx Transfer Descriptor),2: Running (Waiting for status),3: Running (Reading Data from system memory..,4: Timestamp write state,?,6: Suspended (Tx Descriptor Unavailable or Tx..,7: Running (Closing Tx Descriptor),?..." newline bitfld.long 0x00 8.--11. "RPS4,DMA Channel 4 Receive Process State This field indicates the Rx DMA FSM state for Channel 4" "0: Stopped (Reset or Stop Receive Command issued),1: Running (Fetching Rx Transfer Descriptor),?,3: Running (Waiting for Rx packet),4: Suspended (Rx Descriptor Unavailable),5: Running (Closing the Rx Descriptor),6: Timestamp write state,7: Running (Transferring the received packet..,?..." newline bitfld.long 0x00 4.--7. "TPS3,DMA Channel 3 Transmit Process State This field indicates the Tx DMA FSM state for Channel 3" "0: Stopped (Reset or Stop Transmit Command issued),1: Running (Fetching Tx Transfer Descriptor),2: Running (Waiting for status),3: Running (Reading Data from system memory..,4: Timestamp write state,?,6: Suspended (Tx Descriptor Unavailable or Tx..,7: Running (Closing Tx Descriptor),?..." newline bitfld.long 0x00 0.--3. "RPS3,DMA Channel 3 Receive Process State This field indicates the Rx DMA FSM state for Channel 3" "0: Stopped (Reset or Stop Receive Command issued),1: Running (Fetching Rx Transfer Descriptor),?,3: Running (Waiting for Rx packet),4: Suspended (Rx Descriptor Unavailable),5: Running (Closing the Rx Descriptor),6: Timestamp write state,7: Running (Transferring the received packet..,?..." group.long 0x1040++0x03 line.long 0x00 "DMA_AXI_LPI_ENTRY_INTERVAL,AXI LPI Entry Interval Control" bitfld.long 0x00 0.--3. "LPIEI,LPI Entry Interval Contains the number of system clock cycles multiplied by 64 to wait for an activity in the DWC_ether_qos to enter into the AXI low power state 0 indicates 64 clock cycles" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x1050)++0x03 line.long 0x00 "DMA_TBS_CTRL$1,DMA_TBS_CTRL $1" hexmask.long.tbyte 0x00 8.--31. 1. "FTOS,Fetch Time Offset" newline bitfld.long 0x00 4.--6. "FGOS,Fetch GSN Offset" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "FTOV,Fetch Time Offset Valid When set indicates the FTOS field is valid" "0: Fetch Time Offset is invalid,1: Fetch Time Offset is valid" repeat.end group.long 0x1100++0x03 line.long 0x00 "DMA_CH0_CONTROL,DMA Channel 0 Control" bitfld.long 0x00 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "PBLx8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_TX_CONTROL and Bits[21:16] in DMA_CH0_RX_CONTROL is multiplied by eight times" "0: 8xPBL mode is disabled,1: 8xPBL mode is enabled" group.long 0x1104++0x03 line.long 0x00 "DMA_CH0_TX_CONTROL,DMA Channel 0 Transmit Control" bitfld.long 0x00 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors" "0: Enhanced Descriptor is disabled,1: Enhanced Descriptor is enabled" newline bitfld.long 0x00 16.--21. "TxPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer" "0: Ignore PBL Requirement is disabled,1: Ignore PBL Requirement is enabled" newline bitfld.long 0x00 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained" "0: Operate on Second Packet disabled,1: Operate on Second Packet enabled" newline bitfld.long 0x00 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state" "0: Stop Transmission Command,1: Start Transmission Command" group.long 0x1108++0x03 line.long 0x00 "DMA_CH0_RX_CONTROL,DMA Channel 0 Receive Control" bitfld.long 0x00 31. "RPF,Rx Packet Flush" "0: Rx Packet Flush is disabled,1: Rx Packet Flush is enabled" newline bitfld.long 0x00 16.--21. "RxPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 4.--14. 1. "RBSZ_13_y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0" newline rbitfld.long 0x00 1.--3. "RBSZ_x_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets" "0: Stop Receive,1: Start Receive" group.long 0x1114++0x03 line.long 0x00 "DMA_CH0_TXDESC_LIST_ADDRESS,Channel 0 Tx Descriptor List Address register" hexmask.long 0x00 3.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list" group.long 0x111C++0x03 line.long 0x00 "DMA_CH0_RXDESC_LIST_ADDRESS,Channel 0 Rx Descriptor List Address register" hexmask.long 0x00 3.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list" group.long 0x1120++0x03 line.long 0x00 "DMA_CH0_TXDESC_TAIL_POINTER,Channel 0 Tx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring" group.long 0x1128++0x03 line.long 0x00 "DMA_CH0_RXDESC_TAIL_POINTER,Channel 0 Rx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring" group.long 0x112C++0x03 line.long 0x00 "DMA_CH0_TXDESC_RING_LENGTH,Channel 0 Tx Descriptor Ring Length" hexmask.long.word 0x00 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring" group.long 0x1130++0x03 line.long 0x00 "DMA_CH0_RX_CONTROL2,Channel 0 Receive Control 2 register" hexmask.long.byte 0x00 17.--23. 1. "ARBS,Alternate Receive Buffer Size" newline hexmask.long.word 0x00 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring" group.long 0x1134++0x03 line.long 0x00 "DMA_CH0_INTERRUPT_ENABLE,Channel 0 Interrupt Enable" bitfld.long 0x00 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled" "0: Normal Interrupt Summary is disabled,1: Normal Interrupt Summary is enabled" newline bitfld.long 0x00 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled" "0: Abnormal Interrupt Summary is disabled,1: Abnormal Interrupt Summary is enabled" newline bitfld.long 0x00 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled" "0: Context Descriptor Error is disabled,1: Context Descriptor Error is enabled" newline bitfld.long 0x00 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled" "0: Fatal Bus Error is disabled,1: Fatal Bus Error is enabled" newline bitfld.long 0x00 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled" "0: Early Receive Interrupt is disabled,1: Early Receive Interrupt is enabled" newline bitfld.long 0x00 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled" "0: Early Transmit Interrupt is disabled,1: Early Transmit Interrupt is enabled" newline bitfld.long 0x00 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled" "0: Receive Watchdog Timeout is disabled,1: Receive Watchdog Timeout is enabled" newline bitfld.long 0x00 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled" "0: Receive Stopped is disabled,1: Receive Stopped is enabled" newline bitfld.long 0x00 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled" "0: Receive Buffer Unavailable is disabled,1: Receive Buffer Unavailable is enabled" newline bitfld.long 0x00 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled" "0: Receive Interrupt is disabled,1: Receive Interrupt is enabled" newline bitfld.long 0x00 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled" "0: Transmit Buffer Unavailable is disabled,1: Transmit Buffer Unavailable is enabled" newline bitfld.long 0x00 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled" "0: Transmit Stopped is disabled,1: Transmit Stopped is enabled" newline bitfld.long 0x00 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled" "0: Transmit Interrupt is disabled,1: Transmit Interrupt is enabled" group.long 0x1138++0x03 line.long 0x00 "DMA_CH0_RX_INTERRUPT_WATCHDOG_TIMER,Channel 0 Receive Interrupt Watchdog Timer" bitfld.long 0x00 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set" group.long 0x113C++0x03 line.long 0x00 "DMA_CH0_SLOT_FUNCTION_CONTROL_STATUS,Channel 0 Slot Function Control and Status" rbitfld.long 0x00 16.--19. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets" newline bitfld.long 0x00 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "0: Advance Slot Check is disabled,1: Advance Slot Check is enabled" newline bitfld.long 0x00 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field" "0: Slot Comparison is disabled,1: Slot Comparison is enabled" rgroup.long 0x1144++0x03 line.long 0x00 "DMA_CH0_CURRENT_APP_TXDESC,Channel 0 Current Application Transmit Descriptor" hexmask.long 0x00 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x114C++0x03 line.long 0x00 "DMA_CH0_CURRENT_APP_RXDESC,Channel 0 Current Application Receive Descriptor" hexmask.long 0x00 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation" rgroup.long 0x1154++0x03 line.long 0x00 "DMA_CH0_CURRENT_APP_TXBUFFER,Channel 0 Current Application Transmit Buffer Address" hexmask.long 0x00 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x115C++0x03 line.long 0x00 "DMA_CH0_CURRENT_APP_RXBUFFER,Channel 0 Current Application Receive Buffer Address" hexmask.long 0x00 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation" group.long 0x1160++0x03 line.long 0x00 "DMA_CH0_STATUS,DMA Channel 0 Status" rbitfld.long 0x00 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_INTERRUPT_ENABLE register: - Bit" "0: Normal Interrupt Summary status not detected,1: Normal Interrupt Summary status detected" newline bitfld.long 0x00 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Abnormal Interrupt Summary status not detected,1: Abnormal Interrupt Summary status detected" newline bitfld.long 0x00 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow (intermediate descriptor) or all ones descriptor in Tx case and on Rx side it indicates.." "0: Context Descriptor Error status not detected,1: Context Descriptor Error status detected" newline bitfld.long 0x00 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field)" "0: Fatal Bus Error status not detected,1: Fatal Bus Error status detected" newline bitfld.long 0x00 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory" "0: Early Receive Interrupt status not detected,1: Early Receive Interrupt status detected" newline bitfld.long 0x00 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory" "0: Early Transmit Interrupt status not detected,1: Early Transmit Interrupt status detected" newline bitfld.long 0x00 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received" "0: Receive Watchdog Timeout status not detected,1: Receive Watchdog Timeout status detected" newline bitfld.long 0x00 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state" "0: Receive Process Stopped status not detected,1: Receive Process Stopped status detected" newline bitfld.long 0x00 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it" "0: Receive Buffer Unavailable status not detected,1: Receive Buffer Unavailable status detected" newline bitfld.long 0x00 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete" "0: Receive Interrupt status not detected,1: Receive Interrupt status detected" newline bitfld.long 0x00 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it" "0: Transmit Buffer Unavailable status not detected,1: Transmit Buffer Unavailable status detected" newline bitfld.long 0x00 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped" "0: Transmit Process Stopped status not detected,1: Transmit Process Stopped status detected" newline bitfld.long 0x00 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete" "0: Transmit Interrupt status not detected,1: Transmit Interrupt status detected" rgroup.long 0x1164++0x03 line.long 0x00 "DMA_CH0_MISS_FRAME_CNT,Channel 0 Missed Frame Counter" bitfld.long 0x00 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further" "0: Miss Frame Counter overflow not occurred,1: Miss Frame Counter overflow occurred" newline hexmask.long.word 0x00 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programming RPF field in DMA_CH0_RX_CONTROL register" rgroup.long 0x1168++0x03 line.long 0x00 "DMA_CH0_RXP_ACCEPT_CNT,Channel 0 RXP Frames Accepted Counter" bitfld.long 0x00 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit" "0: Rx Parser Accept Counter overflow not occurred,1: Rx Parser Accept Counter overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1" group.long 0x1180++0x03 line.long 0x00 "DMA_CH1_CONTROL,DMA Channel 1 Control" bitfld.long 0x00 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "PBLx8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in TX_CONTROL and Bits[21:16] in DMA_CH1_RX_CONTROL is multiplied by eight times" "0: 8xPBL mode is disabled,1: 8xPBL mode is enabled" group.long 0x1184++0x03 line.long 0x00 "DMA_CH1_TX_CONTROL,DMA Channel 1 Transmit Control" bitfld.long 0x00 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors" "0: Enhanced Descriptor is disabled,1: Enhanced Descriptor is enabled" newline bitfld.long 0x00 16.--21. "TxPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer" "0: Ignore PBL Requirement is disabled,1: Ignore PBL Requirement is enabled" newline bitfld.long 0x00 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained" "0: Operate on Second Packet disabled,1: Operate on Second Packet enabled" newline bitfld.long 0x00 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state" "0: Stop Transmission Command,1: Start Transmission Command" group.long 0x1188++0x03 line.long 0x00 "DMA_CH1_RX_CONTROL,DMA Channel 1 Receive Control" bitfld.long 0x00 31. "RPF,Rx Packet Flush" "0: Rx Packet Flush is disabled,1: Rx Packet Flush is enabled" newline bitfld.long 0x00 16.--21. "RxPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 4.--14. 1. "RBSZ_13_y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0" newline rbitfld.long 0x00 1.--3. "RBSZ_x_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets" "0: Stop Receive,1: Start Receive" group.long 0x1194++0x03 line.long 0x00 "DMA_CH1_TXDESC_LIST_ADDRESS,Channel 1 Tx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list" group.long 0x119C++0x03 line.long 0x00 "DMA_CH1_RXDESC_LIST_ADDRESS,Channel 1 Rx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list" group.long 0x11A0++0x03 line.long 0x00 "DMA_CH1_TXDESC_TAIL_POINTER,Channel 1 Tx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring" group.long 0x11A8++0x03 line.long 0x00 "DMA_CH1_RXDESC_TAIL_POINTER,Channel 1 Rx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring" group.long 0x11AC++0x03 line.long 0x00 "DMA_CH1_TXDESC_RING_LENGTH,Channel 1 Tx Descriptor Ring Length" hexmask.long.word 0x00 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring" group.long 0x11B0++0x03 line.long 0x00 "DMA_CH1_RX_CONTROL2,Channel 1 Receive Control 2 register" hexmask.long.byte 0x00 17.--23. 1. "ARBS,Alternate Receive Buffer Size" newline hexmask.long.word 0x00 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring" group.long 0x11B4++0x03 line.long 0x00 "DMA_CH1_INTERRUPT_ENABLE,Channel 1 Interrupt Enable" bitfld.long 0x00 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled" "0: Normal Interrupt Summary is disabled,1: Normal Interrupt Summary is enabled" newline bitfld.long 0x00 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled" "0: Abnormal Interrupt Summary is disabled,1: Abnormal Interrupt Summary is enabled" newline bitfld.long 0x00 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled" "0: Context Descriptor Error is disabled,1: Context Descriptor Error is enabled" newline bitfld.long 0x00 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled" "0: Fatal Bus Error is disabled,1: Fatal Bus Error is enabled" newline bitfld.long 0x00 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled" "0: Early Receive Interrupt is disabled,1: Early Receive Interrupt is enabled" newline bitfld.long 0x00 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled" "0: Early Transmit Interrupt is disabled,1: Early Transmit Interrupt is enabled" newline bitfld.long 0x00 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled" "0: Receive Watchdog Timeout is disabled,1: Receive Watchdog Timeout is enabled" newline bitfld.long 0x00 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled" "0: Receive Stopped is disabled,1: Receive Stopped is enabled" newline bitfld.long 0x00 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled" "0: Receive Buffer Unavailable is disabled,1: Receive Buffer Unavailable is enabled" newline bitfld.long 0x00 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled" "0: Receive Interrupt is disabled,1: Receive Interrupt is enabled" newline bitfld.long 0x00 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled" "0: Transmit Buffer Unavailable is disabled,1: Transmit Buffer Unavailable is enabled" newline bitfld.long 0x00 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled" "0: Transmit Stopped is disabled,1: Transmit Stopped is enabled" newline bitfld.long 0x00 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled" "0: Transmit Interrupt is disabled,1: Transmit Interrupt is enabled" group.long 0x11B8++0x03 line.long 0x00 "DMA_CH1_RX_INTERRUPT_WATCHDOG_TIMER,Channel 1 Receive Interrupt Watchdog Timer" bitfld.long 0x00 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set" group.long 0x11BC++0x03 line.long 0x00 "DMA_CH1_SLOT_FUNCTION_CONTROL_STATUS,Channel 1 Slot Function Control and Status" rbitfld.long 0x00 16.--19. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets" newline bitfld.long 0x00 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "0: Advance Slot Check is disabled,1: Advance Slot Check is enabled" newline bitfld.long 0x00 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field" "0: Slot Comparison is disabled,1: Slot Comparison is enabled" rgroup.long 0x11C4++0x03 line.long 0x00 "DMA_CH1_CURRENT_APP_TXDESC,Channel 1 Current Application Transmit Descriptor" hexmask.long 0x00 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x11CC++0x03 line.long 0x00 "DMA_CH1_CURRENT_APP_RXDESC,Channel 1 Current Application Receive Descriptor" hexmask.long 0x00 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation" rgroup.long 0x11D4++0x03 line.long 0x00 "DMA_CH1_CURRENT_APP_TXBUFFER,Channel 1 Current Application Transmit Buffer Address" hexmask.long 0x00 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x11DC++0x03 line.long 0x00 "DMA_CH1_CURRENT_APP_RXBUFFER,Channel 1 Current Application Receive Buffer Address" hexmask.long 0x00 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation" group.long 0x11E0++0x03 line.long 0x00 "DMA_CH1_STATUS,DMA Channel 1 Status" rbitfld.long 0x00 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Normal Interrupt Summary status not detected,1: Normal Interrupt Summary status detected" newline bitfld.long 0x00 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Abnormal Interrupt Summary status not detected,1: Abnormal Interrupt Summary status detected" newline bitfld.long 0x00 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "0: Context Descriptor Error status not detected,1: Context Descriptor Error status detected" newline bitfld.long 0x00 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field)" "0: Fatal Bus Error status not detected,1: Fatal Bus Error status detected" newline bitfld.long 0x00 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory" "0: Early Receive Interrupt status not detected,1: Early Receive Interrupt status detected" newline bitfld.long 0x00 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory" "0: Early Transmit Interrupt status not detected,1: Early Transmit Interrupt status detected" newline bitfld.long 0x00 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received" "0: Receive Watchdog Timeout status not detected,1: Receive Watchdog Timeout status detected" newline bitfld.long 0x00 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state" "0: Receive Process Stopped status not detected,1: Receive Process Stopped status detected" newline bitfld.long 0x00 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it" "0: Receive Buffer Unavailable status not detected,1: Receive Buffer Unavailable status detected" newline bitfld.long 0x00 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete" "0: Receive Interrupt status not detected,1: Receive Interrupt status detected" newline bitfld.long 0x00 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it" "0: Transmit Buffer Unavailable status not detected,1: Transmit Buffer Unavailable status detected" newline bitfld.long 0x00 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped" "0: Transmit Process Stopped status not detected,1: Transmit Process Stopped status detected" newline bitfld.long 0x00 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete" "0: Transmit Interrupt status not detected,1: Transmit Interrupt status detected" rgroup.long 0x11E4++0x03 line.long 0x00 "DMA_CH1_MISS_FRAME_CNT,Channel 1 Missed Frame Counter" bitfld.long 0x00 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further" "0: Miss Frame Counter overflow not occurred,1: Miss Frame Counter overflow occurred" newline hexmask.long.word 0x00 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programming RPF field in RX_CONTROL register" rgroup.long 0x11E8++0x03 line.long 0x00 "DMA_CH1_RXP_ACCEPT_CNT,Channel 1 RXP Frames Accepted Counter" bitfld.long 0x00 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit" "0: Rx Parser Accept Counter overflow not occurred,1: Rx Parser Accept Counter overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1" group.long 0x1200++0x03 line.long 0x00 "DMA_CH2_CONTROL,DMA Channel 2 Control" bitfld.long 0x00 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "PBLx8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH2_TX_CONTROL and Bits[21:16] in DMA_CH2_RX_CONTROL is multiplied by eight times" "0: 8xPBL mode is disabled,1: 8xPBL mode is enabled" group.long 0x1204++0x03 line.long 0x00 "DMA_CH2_TX_CONTROL,DMA Channel 2 Transmit Control" bitfld.long 0x00 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors" "0: Enhanced Descriptor is disabled,1: Enhanced Descriptor is enabled" newline bitfld.long 0x00 16.--21. "TxPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer" "0: Ignore PBL Requirement is disabled,1: Ignore PBL Requirement is enabled" newline bitfld.long 0x00 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained" "0: Operate on Second Packet disabled,1: Operate on Second Packet enabled" newline bitfld.long 0x00 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state" "0: Stop Transmission Command,1: Start Transmission Command" group.long 0x1208++0x03 line.long 0x00 "DMA_CH2_RX_CONTROL,DMA Channel 2 Receive Control" bitfld.long 0x00 31. "RPF,Rx Packet Flush" "0: Rx Packet Flush is disabled,1: Rx Packet Flush is enabled" newline bitfld.long 0x00 16.--21. "RxPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 4.--14. 1. "RBSZ_13_y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0" newline rbitfld.long 0x00 1.--3. "RBSZ_x_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets" "0: Stop Receive,1: Start Receive" group.long 0x1214++0x03 line.long 0x00 "DMA_CH2_TXDESC_LIST_ADDRESS,Channel 2 Tx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list" group.long 0x121C++0x03 line.long 0x00 "DMA_CH2_RXDESC_LIST_ADDRESS,Channel 2 Rx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list" group.long 0x1220++0x03 line.long 0x00 "DMA_CH2_TXDESC_TAIL_POINTER,Channel 2 Tx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring" group.long 0x1228++0x03 line.long 0x00 "DMA_CH2_RXDESC_TAIL_POINTER,Channel 2 Rx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring" group.long 0x122C++0x03 line.long 0x00 "DMA_CH2_TXDESC_RING_LENGTH,Channel 2 Tx Descriptor Ring Length" hexmask.long.word 0x00 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring" group.long 0x1230++0x03 line.long 0x00 "DMA_CH2_RX_CONTROL2,Channel 2 Receive Control 2 register" hexmask.long.byte 0x00 17.--23. 1. "ARBS,Alternate Receive Buffer Size" newline hexmask.long.word 0x00 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring" group.long 0x1234++0x03 line.long 0x00 "DMA_CH2_INTERRUPT_ENABLE,Channel 2 Interrupt Enable" bitfld.long 0x00 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled" "0: Normal Interrupt Summary is disabled,1: Normal Interrupt Summary is enabled" newline bitfld.long 0x00 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled" "0: Abnormal Interrupt Summary is disabled,1: Abnormal Interrupt Summary is enabled" newline bitfld.long 0x00 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled" "0: Context Descriptor Error is disabled,1: Context Descriptor Error is enabled" newline bitfld.long 0x00 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled" "0: Fatal Bus Error is disabled,1: Fatal Bus Error is enabled" newline bitfld.long 0x00 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled" "0: Early Receive Interrupt is disabled,1: Early Receive Interrupt is enabled" newline bitfld.long 0x00 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled" "0: Early Transmit Interrupt is disabled,1: Early Transmit Interrupt is enabled" newline bitfld.long 0x00 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled" "0: Receive Watchdog Timeout is disabled,1: Receive Watchdog Timeout is enabled" newline bitfld.long 0x00 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled" "0: Receive Stopped is disabled,1: Receive Stopped is enabled" newline bitfld.long 0x00 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled" "0: Receive Buffer Unavailable is disabled,1: Receive Buffer Unavailable is enabled" newline bitfld.long 0x00 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled" "0: Receive Interrupt is disabled,1: Receive Interrupt is enabled" newline bitfld.long 0x00 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled" "0: Transmit Buffer Unavailable is disabled,1: Transmit Buffer Unavailable is enabled" newline bitfld.long 0x00 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled" "0: Transmit Stopped is disabled,1: Transmit Stopped is enabled" newline bitfld.long 0x00 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled" "0: Transmit Interrupt is disabled,1: Transmit Interrupt is enabled" group.long 0x1238++0x03 line.long 0x00 "DMA_CH2_RX_INTERRUPT_WATCHDOG_TIMER,Channel 2 Receive Interrupt Watchdog Timer" bitfld.long 0x00 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set" group.long 0x123C++0x03 line.long 0x00 "DMA_CH2_SLOT_FUNCTION_CONTROL_STATUS,Channel 2 Slot Function Control and Status" rbitfld.long 0x00 16.--19. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets" newline bitfld.long 0x00 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "0: Advance Slot Check is disabled,1: Advance Slot Check is enabled" newline bitfld.long 0x00 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field" "0: Slot Comparison is disabled,1: Slot Comparison is enabled" rgroup.long 0x1244++0x03 line.long 0x00 "DMA_CH2_CURRENT_APP_TXDESC,Channel 2 Current Application Transmit Descriptor" hexmask.long 0x00 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x124C++0x03 line.long 0x00 "DMA_CH2_CURRENT_APP_RXDESC,Channel 2 Current Application Receive Descriptor" hexmask.long 0x00 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation" rgroup.long 0x1254++0x03 line.long 0x00 "DMA_CH2_CURRENT_APP_TXBUFFER,Channel 2 Current Application Transmit Buffer Address" hexmask.long 0x00 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x125C++0x03 line.long 0x00 "DMA_CH2_CURRENT_APP_RXBUFFER,Channel 2 Current Application Receive Buffer Address" hexmask.long 0x00 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation" group.long 0x1260++0x03 line.long 0x00 "DMA_CH2_STATUS,DMA Channel 2 Status" rbitfld.long 0x00 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Normal Interrupt Summary status not detected,1: Normal Interrupt Summary status detected" newline bitfld.long 0x00 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH2_INTERRUPT_ENABLE register: - Bit" "0: Abnormal Interrupt Summary status not detected,1: Abnormal Interrupt Summary status detected" newline bitfld.long 0x00 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "0: Context Descriptor Error status not detected,1: Context Descriptor Error status detected" newline bitfld.long 0x00 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field)" "0: Fatal Bus Error status not detected,1: Fatal Bus Error status detected" newline bitfld.long 0x00 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory" "0: Early Receive Interrupt status not detected,1: Early Receive Interrupt status detected" newline bitfld.long 0x00 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory" "0: Early Transmit Interrupt status not detected,1: Early Transmit Interrupt status detected" newline bitfld.long 0x00 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received" "0: Receive Watchdog Timeout status not detected,1: Receive Watchdog Timeout status detected" newline bitfld.long 0x00 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state" "0: Receive Process Stopped status not detected,1: Receive Process Stopped status detected" newline bitfld.long 0x00 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it" "0: Receive Buffer Unavailable status not detected,1: Receive Buffer Unavailable status detected" newline bitfld.long 0x00 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete" "0: Receive Interrupt status not detected,1: Receive Interrupt status detected" newline bitfld.long 0x00 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it" "0: Transmit Buffer Unavailable status not detected,1: Transmit Buffer Unavailable status detected" newline bitfld.long 0x00 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped" "0: Transmit Process Stopped status not detected,1: Transmit Process Stopped status detected" newline bitfld.long 0x00 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete" "0: Transmit Interrupt status not detected,1: Transmit Interrupt status detected" rgroup.long 0x1264++0x03 line.long 0x00 "DMA_CH2_MISS_FRAME_CNT,Channel 2 Missed Frame Counter" bitfld.long 0x00 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further" "0: Miss Frame Counter overflow not occurred,1: Miss Frame Counter overflow occurred" newline hexmask.long.word 0x00 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programming RPF field in DMA_CH2_RX_CONTROL register" rgroup.long 0x1268++0x03 line.long 0x00 "DMA_CH2_RXP_ACCEPT_CNT,Channel 2 RXP Frames Accepted Counter" bitfld.long 0x00 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit" "0: Rx Parser Accept Counter overflow not occurred,1: Rx Parser Accept Counter overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1" group.long 0x1280++0x03 line.long 0x00 "DMA_CH3_CONTROL,DMA Channel 3 Control" bitfld.long 0x00 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "PBLx8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH3_TX_CONTROL and Bits[21:16] in DMA_CH3_RX_CONTROL is multiplied by eight times" "0: 8xPBL mode is disabled,1: 8xPBL mode is enabled" group.long 0x1284++0x03 line.long 0x00 "DMA_CH3_TX_CONTROL,DMA Channel 3 Transmit Control" bitfld.long 0x00 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors" "0: Enhanced Descriptor is disabled,1: Enhanced Descriptor is enabled" newline bitfld.long 0x00 16.--21. "TxPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer" "0: Ignore PBL Requirement is disabled,1: Ignore PBL Requirement is enabled" newline bitfld.long 0x00 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained" "0: Operate on Second Packet disabled,1: Operate on Second Packet enabled" newline bitfld.long 0x00 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state" "0: Stop Transmission Command,1: Start Transmission Command" group.long 0x1288++0x03 line.long 0x00 "DMA_CH3_RX_CONTROL,DMA Channel 3 Receive Control" bitfld.long 0x00 31. "RPF,Rx Packet Flush" "0: Rx Packet Flush is disabled,1: Rx Packet Flush is enabled" newline bitfld.long 0x00 16.--21. "RxPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 4.--14. 1. "RBSZ_13_y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0" newline rbitfld.long 0x00 1.--3. "RBSZ_x_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets" "0: Stop Receive,1: Start Receive" group.long 0x1294++0x03 line.long 0x00 "DMA_CH3_TXDESC_LIST_ADDRESS,Channel 3 Tx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list" group.long 0x129C++0x03 line.long 0x00 "DMA_CH3_RXDESC_LIST_ADDRESS,Channel 3 Rx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list" group.long 0x12A0++0x03 line.long 0x00 "DMA_CH3_TXDESC_TAIL_POINTER,Channel 3 Tx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring" group.long 0x12A8++0x03 line.long 0x00 "DMA_CH3_RXDESC_TAIL_POINTER,Channel 3 Rx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring" group.long 0x12AC++0x03 line.long 0x00 "DMA_CH3_TXDESC_RING_LENGTH,Channel 3 Tx Descriptor Ring Length" hexmask.long.word 0x00 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring" group.long 0x12B0++0x03 line.long 0x00 "DMA_CH3_RX_CONTROL2,Channel 3 Receive Control 2 register" hexmask.long.byte 0x00 17.--23. 1. "ARBS,Alternate Receive Buffer Size" newline hexmask.long.word 0x00 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring" group.long 0x12B4++0x03 line.long 0x00 "DMA_CH3_INTERRUPT_ENABLE,Channel 3 Interrupt Enable" bitfld.long 0x00 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled" "0: Normal Interrupt Summary is disabled,1: Normal Interrupt Summary is enabled" newline bitfld.long 0x00 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled" "0: Abnormal Interrupt Summary is disabled,1: Abnormal Interrupt Summary is enabled" newline bitfld.long 0x00 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled" "0: Context Descriptor Error is disabled,1: Context Descriptor Error is enabled" newline bitfld.long 0x00 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled" "0: Fatal Bus Error is disabled,1: Fatal Bus Error is enabled" newline bitfld.long 0x00 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled" "0: Early Receive Interrupt is disabled,1: Early Receive Interrupt is enabled" newline bitfld.long 0x00 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled" "0: Early Transmit Interrupt is disabled,1: Early Transmit Interrupt is enabled" newline bitfld.long 0x00 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled" "0: Receive Watchdog Timeout is disabled,1: Receive Watchdog Timeout is enabled" newline bitfld.long 0x00 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled" "0: Receive Stopped is disabled,1: Receive Stopped is enabled" newline bitfld.long 0x00 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled" "0: Receive Buffer Unavailable is disabled,1: Receive Buffer Unavailable is enabled" newline bitfld.long 0x00 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled" "0: Receive Interrupt is disabled,1: Receive Interrupt is enabled" newline bitfld.long 0x00 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled" "0: Transmit Buffer Unavailable is disabled,1: Transmit Buffer Unavailable is enabled" newline bitfld.long 0x00 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled" "0: Transmit Stopped is disabled,1: Transmit Stopped is enabled" newline bitfld.long 0x00 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled" "0: Transmit Interrupt is disabled,1: Transmit Interrupt is enabled" group.long 0x12B8++0x03 line.long 0x00 "DMA_CH3_RX_INTERRUPT_WATCHDOG_TIMER,Channel 3 Receive Interrupt Watchdog Time" bitfld.long 0x00 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set" group.long 0x12BC++0x03 line.long 0x00 "DMA_CH3_SLOT_FUNCTION_CONTROL_STATUS,Channel 3 Slot Function Control and Status" rbitfld.long 0x00 16.--19. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets" newline bitfld.long 0x00 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "0: Advance Slot Check is disabled,1: Advance Slot Check is enabled" newline bitfld.long 0x00 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field" "0: Slot Comparison is disabled,1: Slot Comparison is enabled" rgroup.long 0x12C4++0x03 line.long 0x00 "DMA_CH3_CURRENT_APP_TXDESC,Channel 3 Current Application Transmit Descriptor" hexmask.long 0x00 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x12CC++0x03 line.long 0x00 "DMA_CH3_CURRENT_APP_RXDESC,Channel 3 Current Application Receive Descriptor" hexmask.long 0x00 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation" rgroup.long 0x12D4++0x03 line.long 0x00 "DMA_CH3_CURRENT_APP_TXBUFFER,Channel 3 Current Application Transmit Buffer Address" hexmask.long 0x00 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x12DC++0x03 line.long 0x00 "DMA_CH3_CURRENT_APP_RXBUFFER,Channel 3 Current Application Receive Buffer Address" hexmask.long 0x00 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation" group.long 0x12E0++0x03 line.long 0x00 "DMA_CH3_STATUS,DMA Channel 3 Status" rbitfld.long 0x00 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH3_INTERRUPT_ENABLE register: - Bit" "0: Normal Interrupt Summary status not detected,1: Normal Interrupt Summary status detected" newline bitfld.long 0x00 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH3_INTERRUPT_ENABLE register: - Bit" "0: Abnormal Interrupt Summary status not detected,1: Abnormal Interrupt Summary status detected" newline bitfld.long 0x00 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "0: Context Descriptor Error status not detected,1: Context Descriptor Error status detected" newline bitfld.long 0x00 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field)" "0: Fatal Bus Error status not detected,1: Fatal Bus Error status detected" newline bitfld.long 0x00 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory" "0: Early Receive Interrupt status not detected,1: Early Receive Interrupt status detected" newline bitfld.long 0x00 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory" "0: Early Transmit Interrupt status not detected,1: Early Transmit Interrupt status detected" newline bitfld.long 0x00 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received" "0: Receive Watchdog Timeout status not detected,1: Receive Watchdog Timeout status detected" newline bitfld.long 0x00 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state" "0: Receive Process Stopped status not detected,1: Receive Process Stopped status detected" newline bitfld.long 0x00 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it" "0: Receive Buffer Unavailable status not detected,1: Receive Buffer Unavailable status detected" newline bitfld.long 0x00 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete" "0: Receive Interrupt status not detected,1: Receive Interrupt status detected" newline bitfld.long 0x00 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it" "0: Transmit Buffer Unavailable status not detected,1: Transmit Buffer Unavailable status detected" newline bitfld.long 0x00 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped" "0: Transmit Process Stopped status not detected,1: Transmit Process Stopped status detected" newline bitfld.long 0x00 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete" "0: Transmit Interrupt status not detected,1: Transmit Interrupt status detected" rgroup.long 0x12E4++0x03 line.long 0x00 "DMA_CH3_MISS_FRAME_CNT,Channel 3 Missed Frame Counter" bitfld.long 0x00 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further" "0: Miss Frame Counter overflow not occurred,1: Miss Frame Counter overflow occurred" newline hexmask.long.word 0x00 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programming RPF field in RX_CONTROL register" rgroup.long 0x12E8++0x03 line.long 0x00 "DMA_CH3_RXP_ACCEPT_CNT,Channel 3 RXP Frames Accepted Counter" bitfld.long 0x00 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit" "0: Rx Parser Accept Counter overflow not occurred,1: Rx Parser Accept Counter overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1" group.long 0x1300++0x03 line.long 0x00 "DMA_CH4_CONTROL,Channel 4 Control" bitfld.long 0x00 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "PBLx8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH4_TX_CONTROL and Bits[21:16] in DMA_CH4_RX_CONTROL is multiplied by eight times" "0: 8xPBL mode is disabled,1: 8xPBL mode is enabled" group.long 0x1304++0x03 line.long 0x00 "DMA_CH4_TX_CONTROL,DMA Channel 4 Transmit Control" bitfld.long 0x00 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors" "0: Enhanced Descriptor is disabled,1: Enhanced Descriptor is enabled" newline bitfld.long 0x00 16.--21. "TxPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer" "0: Ignore PBL Requirement is disabled,1: Ignore PBL Requirement is enabled" newline bitfld.long 0x00 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained" "0: Operate on Second Packet disabled,1: Operate on Second Packet enabled" newline bitfld.long 0x00 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state" "0: Stop Transmission Command,1: Start Transmission Command" group.long 0x1308++0x03 line.long 0x00 "DMA_CH4_RX_CONTROL,DMA Channel 4 Receive Control" bitfld.long 0x00 31. "RPF,Rx Packet Flush" "0: Rx Packet Flush is disabled,1: Rx Packet Flush is enabled" newline bitfld.long 0x00 16.--21. "RxPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 4.--14. 1. "RBSZ_13_y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0" newline rbitfld.long 0x00 1.--3. "RBSZ_x_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets" "0: Stop Receive,1: Start Receive" group.long 0x1314++0x03 line.long 0x00 "DMA_CH4_TXDESC_LIST_ADDRESS,Channel 4 Tx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list" group.long 0x131C++0x03 line.long 0x00 "DMA_CH4_RXDESC_LIST_ADDRESS,Channel 4 Rx Descriptor List Address" hexmask.long 0x00 3.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list" group.long 0x1320++0x03 line.long 0x00 "DMA_CH4_TXDESC_TAIL_POINTER,Channel 4 Tx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring" group.long 0x1328++0x03 line.long 0x00 "DMA_CH4_RXDESC_TAIL_POINTER,Channel 4 Rx Descriptor Tail Pointer" hexmask.long 0x00 3.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring" group.long 0x132C++0x03 line.long 0x00 "DMA_CH4_TXDESC_RING_LENGTH,Channel 4 Tx Descriptor Ring Length" hexmask.long.word 0x00 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring" group.long 0x1330++0x03 line.long 0x00 "DMA_CH4_RX_CONTROL2,DMA Channel 4 Receive Control 2 register" hexmask.long.byte 0x00 17.--23. 1. "ARBS,Alternate Receive Buffer Size" newline hexmask.long.word 0x00 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring" group.long 0x1334++0x03 line.long 0x00 "DMA_CH4_INTERRUPT_ENABLE,Channel 4 Interrupt Enable" bitfld.long 0x00 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled" "0: Normal Interrupt Summary is disabled,1: Normal Interrupt Summary is enabled" newline bitfld.long 0x00 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled" "0: Abnormal Interrupt Summary is disabled,1: Abnormal Interrupt Summary is enabled" newline bitfld.long 0x00 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled" "0: Context Descriptor Error is disabled,1: Context Descriptor Error is enabled" newline bitfld.long 0x00 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled" "0: Fatal Bus Error is disabled,1: Fatal Bus Error is enabled" newline bitfld.long 0x00 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled" "0: Early Receive Interrupt is disabled,1: Early Receive Interrupt is enabled" newline bitfld.long 0x00 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled" "0: Early Transmit Interrupt is disabled,1: Early Transmit Interrupt is enabled" newline bitfld.long 0x00 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled" "0: Receive Watchdog Timeout is disabled,1: Receive Watchdog Timeout is enabled" newline bitfld.long 0x00 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled" "0: Receive Stopped is disabled,1: Receive Stopped is enabled" newline bitfld.long 0x00 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled" "0: Receive Buffer Unavailable is disabled,1: Receive Buffer Unavailable is enabled" newline bitfld.long 0x00 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled" "0: Receive Interrupt is disabled,1: Receive Interrupt is enabled" newline bitfld.long 0x00 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled" "0: Transmit Buffer Unavailable is disabled,1: Transmit Buffer Unavailable is enabled" newline bitfld.long 0x00 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled" "0: Transmit Stopped is disabled,1: Transmit Stopped is enabled" newline bitfld.long 0x00 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled" "0: Transmit Interrupt is disabled,1: Transmit Interrupt is enabled" group.long 0x1338++0x03 line.long 0x00 "DMA_CH4_RX_INTERRUPT_WATCHDOG_TIMER,Channel 4 Receive Interrupt Watchdog Timer" bitfld.long 0x00 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set" group.long 0x133C++0x03 line.long 0x00 "DMA_CH4_SLOT_FUNCTION_CONTROL_STATUS,Channel 4 Slot Function Control and Status" rbitfld.long 0x00 16.--19. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets" newline bitfld.long 0x00 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "0: Advance Slot Check is disabled,1: Advance Slot Check is enabled" newline bitfld.long 0x00 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field" "0: Slot Comparison is disabled,1: Slot Comparison is enabled" rgroup.long 0x1344++0x03 line.long 0x00 "DMA_CH4_CURRENT_APP_TXDESC,Channel 4 Current Application Transmit Descriptor" hexmask.long 0x00 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x134C++0x03 line.long 0x00 "DMA_CH4_CURRENT_APP_RXDESC,Channel 4 Current Application Receive Descriptor" hexmask.long 0x00 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation" rgroup.long 0x1354++0x03 line.long 0x00 "DMA_CH4_CURRENT_APP_TXBUFFER,Channel 4 Current Application Transmit Buffer Address" hexmask.long 0x00 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation" rgroup.long 0x135C++0x03 line.long 0x00 "DMA_CH4_CURRENT_APP_RXBUFFER,Channel 4 Current Application Receive Buffer Address" hexmask.long 0x00 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation" group.long 0x1360++0x03 line.long 0x00 "DMA_CH4_STATUS,DMA Channel 4 Status" rbitfld.long 0x00 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Normal Interrupt Summary status not detected,1: Normal Interrupt Summary status detected" newline bitfld.long 0x00 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the INTERRUPT_ENABLE register: - Bit" "0: Abnormal Interrupt Summary status not detected,1: Abnormal Interrupt Summary status detected" newline bitfld.long 0x00 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "0: Context Descriptor Error status not detected,1: Context Descriptor Error status detected" newline bitfld.long 0x00 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field)" "0: Fatal Bus Error status not detected,1: Fatal Bus Error status detected" newline bitfld.long 0x00 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory" "0: Early Receive Interrupt status not detected,1: Early Receive Interrupt status detected" newline bitfld.long 0x00 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory" "0: Early Transmit Interrupt status not detected,1: Early Transmit Interrupt status detected" newline bitfld.long 0x00 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received" "0: Receive Watchdog Timeout status not detected,1: Receive Watchdog Timeout status detected" newline bitfld.long 0x00 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state" "0: Receive Process Stopped status not detected,1: Receive Process Stopped status detected" newline bitfld.long 0x00 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it" "0: Receive Buffer Unavailable status not detected,1: Receive Buffer Unavailable status detected" newline bitfld.long 0x00 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete" "0: Receive Interrupt status not detected,1: Receive Interrupt status detected" newline bitfld.long 0x00 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it" "0: Transmit Buffer Unavailable status not detected,1: Transmit Buffer Unavailable status detected" newline bitfld.long 0x00 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped" "0: Transmit Process Stopped status not detected,1: Transmit Process Stopped status detected" newline bitfld.long 0x00 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete" "0: Transmit Interrupt status not detected,1: Transmit Interrupt status detected" rgroup.long 0x1364++0x03 line.long 0x00 "DMA_CH4_MISS_FRAME_CNT,Channel 4 Missed Frame Counter" bitfld.long 0x00 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further" "0: Miss Frame Counter overflow not occurred,1: Miss Frame Counter overflow occurred" newline hexmask.long.word 0x00 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programming RPF field in RX_CONTROL register" rgroup.long 0x1368++0x03 line.long 0x00 "DMA_CH4_RXP_ACCEPT_CNT,Channel 4 RXP Frames Accepted Counter" bitfld.long 0x00 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit" "0: Rx Parser Accept Counter overflow not occurred,1: Rx Parser Accept Counter overflow occurred" newline hexmask.long 0x00 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1" tree.end tree "FLEXIO" repeat 2. (list 1. 2.) (list ad:0x425C0000 ad:0x425D0000) tree "FLEXIO$1" base $2 ;%include imx93/flexio1.ph tree.end repeat.end tree.end tree "FLEXSPI" base ad:0x425E0000 group.long 0x00++0x03 line.long 0x00 "MCR0,Module Control Register 0" hexmask.long.byte 0x00 24.--31. 1. "AHBGRANTWAIT,Timeout wait cycle for AHB command grant" newline hexmask.long.byte 0x00 16.--23. 1. "IPGRANTWAIT,Timeout wait cycle for IP command grant" newline bitfld.long 0x00 15. "LEARNEN,This bit is used to enable/disable data learning feature" "0: Disable,1: Enable" newline bitfld.long 0x00 14. "SCKFREERUNEN,This bit is used to force SCLK output free-running" "0: Disable,1: Enable" newline bitfld.long 0x00 13. "COMBINATIONEN,This bit is to support Flash Octal mode access by combining Port A and B Data pins (A_DATA[3:0] and B_DATA[3:0]) when Port A and Port B are of 4 bit data width" "0: Disable,1: Enable" newline bitfld.long 0x00 12. "DOZEEN,Doze mode enable bit" "0: Doze mode support disabled,1: Doze mode support enabled" newline bitfld.long 0x00 11. "HSEN,Half Speed Serial Flash access Enable" "0: Disable divide by 2 of serial flash clock for..,1: Enable divide by 2 of serial flash clock for.." newline bitfld.long 0x00 8.--10. "SERCLKDIV,Serial root clock" "0: Divided by 1,1: Divided by 2,2: Divided by 3,3: Divided by 4,4: Divided by 5,5: Divided by 6,6: Divided by 7,7: Divided by 8" newline bitfld.long 0x00 7. "ATDFEN,Enable AHB bus Write Access to IP TX FIFO" "0: IP TX FIFO should be written by IP Bus,1: IP TX FIFO should be written by AHB Bus" newline bitfld.long 0x00 6. "ARDFEN,Enable AHB bus Read Access to IP RX FIFO" "0: IP RX FIFO should be read by IP Bus,1: IP RX FIFO should be read by AHB Bus" newline bitfld.long 0x00 4.--5. "RXCLKSRC,Sample Clock source selection for Flash Reading" "0: Dummy Read strobe generated by FlexSPI..,1: Dummy Read strobe generated by FlexSPI..,2: SCLK output clock and loopback from SCLK pad,3: Flash provided Read strobe and input from DQS.." newline bitfld.long 0x00 2.--3. "ENDCFG,AHB/IPS Bus Endian Configuration" "0: 64 bit Little Endian,1: 64 bit Big Endian,2: 32 bit Little Endian,3: 32 bit Big Endian" newline bitfld.long 0x00 1. "MDIS,Module Disable" "0,1" newline bitfld.long 0x00 0. "SWRESET,Software Reset" "0,1" group.long 0x04++0x03 line.long 0x00 "MCR1,Module Control Register 1" hexmask.long.word 0x00 16.--31. 1. "SEQWAIT,Command Sequence Execution will timeout and abort after SEQWAIT * 1024 Serial Root Clock cycles" newline hexmask.long.word 0x00 0.--15. 1. "AHBBUSWAIT,AHB Bus wait" group.long 0x08++0x03 line.long 0x00 "MCR2,Module Control Register 2" hexmask.long.byte 0x00 24.--31. 1. "RESUMEWAIT,Wait cycle (in AHB clock cycle) for idle state before suspended command sequence resumed" newline bitfld.long 0x00 20. "CLKPHASERST,All clock output (SCLK/DQS/SCLK2) phase will be reset by set this bit" "0,1" newline bitfld.long 0x00 19. "SCKBDIFFOPT,B_SCLK pad can be used as A_SCLK differential clock output (inverted clock to A_SCLK)" "0: B_SCLK pad is used as port B SCLK clock output,1: B_SCLK pad is used as port A SCLK inverted.." newline bitfld.long 0x00 17.--18. "RXDELAYOPT,Option bit for RX data sampling (when MCR0[RXCLKSRC] is not set to 0x3) for internal use only" "0: FlexSPI will sample RX data lines 4 cycles..,1: FlexSPI will sample RX data lines 5 cycles..,2: FlexSPI will sample RX data lines 6 cycles..,3: FlexSPI will sample RX data lines 7 cycles.." newline bitfld.long 0x00 16. "FLASHDQSOPT,Option bit for Flash device provided DQS" "0: FlexSPI will drive toggling SCLK output until..,1: FlexSPI will drive SCLK output according to.." newline bitfld.long 0x00 15. "SAMEDEVICEEN,All external devices are same devices (both in types and size) for A1/A2/B1/B2" "0: In Individual mode..,1: FLSHA1CR0/FLSHA1CR1/FLSHA1CR2 register.." newline bitfld.long 0x00 14. "CLRLEARNPHASE,The sampling clock phase selection will be reset to phase 0 when this bit is written with 0x1" "0,1" newline bitfld.long 0x00 13. "TSTMD,This bit is to support ATE test" "0: Test mode disable,1: Test mode Enable" newline bitfld.long 0x00 12. "SCK2OPT,This bit controls the SCLK2 toggle output" "0: SCLK2 output clock will toggle for READ/LEARN..,1: SCLK2 output clock will toggle for the whole.." newline bitfld.long 0x00 11. "CLRAHBBUFOPT,Clear AHB buffer" "0: AHB RX/TX Buffer will not be cleaned..,1: AHB RX/TX Buffer will be cleaned.." newline bitfld.long 0x00 8. "ABORTONDATSZEN,Allow Command Sequence Abort during DATSZ (DATSZ_SDR/DATSZ_DDR) instructions" "0,1" newline bitfld.long 0x00 7. "ABORTONLEARNEN,Allow Command Sequence Abort during LEARN (LEARN_SDR/LEARN_DDR) instructions" "0,1" newline bitfld.long 0x00 6. "ABORTONREADEN,Allow Command Sequence Abort during READ (READ_SDR/READ_DDR) instructions" "0,1" newline bitfld.long 0x00 5. "ABORTONWRITEEN,Allow Command Sequence Abort during WRITE (WRITE_SDR/WRITE_DDR) instructions" "0,1" newline bitfld.long 0x00 4. "ABORTONDUMMYEN,Allow Command Sequence Abort during DUMMY (DUMMY_SDR/DUMMY_DDR/DUMMY_RWDS_SDR/DUMMY_RWDS_DDR) instructions" "0,1" newline bitfld.long 0x00 3. "ABORTONMODEEN,Allow Command Sequence Abort during MODE (MODE1_SDR/MODE1_DDR/MODE2_SDR/MODE2_DDR/MODE4_SDR/MODE4_DDR/MODE8_SDR/MODE8_DDR) instructions" "0,1" newline bitfld.long 0x00 2. "ABORTONCADDREN,Allow Command Sequence Abort during RADDR (CADDR_SDR/CADDR_DDR) instructions" "0,1" newline bitfld.long 0x00 1. "ABORTONRADDREN,Allow Command Sequence Abort during RADDR (RADDR_SDR/RADDR_DDR) instructions" "0,1" newline bitfld.long 0x00 0. "ABORTONCMDEN,Allow Command Sequence Abort during CMD (CMD_SDR/CMD_DDR) instruction" "0: When command abort request received during..,1: When command abort request received during.." group.long 0x0C++0x03 line.long 0x00 "AHBCR,AHB Bus Control Register" bitfld.long 0x00 27.--31. "AFLASHBASE,AHB memory mapped flash base address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 20.--21. "ALIGNMENT,Decides all AHB read/write boundary" "0: No limit,1: 1 KBytes,2: 512 Bytes,3: 256 Bytes" newline bitfld.long 0x00 10. "READSZALIGN,AHB Read Size Alignment" "0: AHB read size will be decided by other..,1: AHB read size to up size to 8 bytes aligned.." newline bitfld.long 0x00 8. "WRFLUSHBLOCKDISABLE,AHB Write Flush Block AHB access Disable" "0: Current AHB write command will block..,1: It is possible that later AHB read bypass the.." newline bitfld.long 0x00 7. "RESUMEDISABLE,AHB Read Resume Disable" "0: Suspended AHB read prefetch will start to..,1: Suspended AHB read prefetch will not resume.." newline bitfld.long 0x00 6. "READADDROPT,AHB Read Address option bit" "0: There is AHB read burst start address..,1: There is no AHB read burst start address.." newline bitfld.long 0x00 5. "PREFETCHEN,AHB Read Prefetch Enable" "0,1" newline bitfld.long 0x00 4. "BUFFERABLEEN,Enable AHB bus bufferable write access support" "0: Disabled,1: Enabled" newline bitfld.long 0x00 3. "CACHABLEEN,Enable AHB bus cachable read access support" "0: Disabled,1: Enabled" newline bitfld.long 0x00 2. "CLRAHBTXBUF,Clear the status/pointers of AHB TX Buffer" "0,1" newline bitfld.long 0x00 0. "APAREN,Parallel mode enabled for AHB triggered Command (both read and write)" "0: Flash will be accessed in Individual mode,1: Flash will be accessed in Parallel mode" group.long 0x10++0x03 line.long 0x00 "INTEN,Interrupt Enable Register" bitfld.long 0x00 13. "KEYERROREN,OTFAD key blob processing error interrupt enable" "0,1" newline bitfld.long 0x00 12. "KEYDONEEN,OTFAD key blob processing done interrupt enable" "0,1" newline bitfld.long 0x00 11. "SEQTIMEOUTEN,Sequence execution timeout interrupt enable" "0,1" newline bitfld.long 0x00 10. "AHBBUSERROREN,AHB Bus error interrupt enable" "0,1" newline bitfld.long 0x00 9. "SCKSTOPBYWREN,SCLK is stopped during command sequence because Async TX FIFO empty interrupt enable" "0,1" newline bitfld.long 0x00 8. "SCKSTOPBYRDEN,SCLK is stopped during command sequence because Async RX FIFO full interrupt enable" "0,1" newline bitfld.long 0x00 7. "DATALEARNFAILEN,Data Learning failed interrupt enable" "0,1" newline bitfld.long 0x00 6. "IPTXWEEN,IP TX FIFO WaterMark empty interrupt enable" "0,1" newline bitfld.long 0x00 5. "IPRXWAEN,IP RX FIFO WaterMark available interrupt enable" "0,1" newline bitfld.long 0x00 4. "AHBCMDERREN,AHB triggered Command Sequences Error Detected interrupt enable" "0,1" newline bitfld.long 0x00 3. "IPCMDERREN,IP triggered Command Sequences Error Detected interrupt enable" "0,1" newline bitfld.long 0x00 2. "AHBCMDGEEN,AHB triggered Command Sequences Grant Timeout interrupt enable" "0,1" newline bitfld.long 0x00 1. "IPCMDGEEN,IP triggered Command Sequences Grant Timeout interrupt enable" "0,1" newline bitfld.long 0x00 0. "IPCMDDONEEN,IP triggered Command Sequences Execution finished interrupt enable" "0,1" group.long 0x14++0x03 line.long 0x00 "INTR,Interrupt Register" rbitfld.long 0x00 13. "KEYERROR,OTFAD key blob processing error interrupt" "0,1" newline eventfld.long 0x00 12. "KEYDONE,OTFAD key blob processing done interrupt" "0,1" newline eventfld.long 0x00 11. "SEQTIMEOUT,Sequence execution timeout interrupt" "0,1" newline eventfld.long 0x00 10. "AHBBUSERROR,AHB Bus timeout or AHB bus illegal access Flash during OTFAD key blob processing interrupt" "0,1" newline eventfld.long 0x00 9. "SCKSTOPBYWR,SCLK is stopped during command sequence because Async TX FIFO empty interrupt" "0,1" newline eventfld.long 0x00 8. "SCKSTOPBYRD,SCLK is stopped during command sequence because Async RX FIFO full interrupt" "0,1" newline eventfld.long 0x00 7. "DATALEARNFAIL,Data Learning failed interrupt" "0,1" newline eventfld.long 0x00 6. "IPTXWE,IP TX FIFO watermark empty interrupt" "0,1" newline eventfld.long 0x00 5. "IPRXWA,IP RX FIFO watermark available interrupt" "0,1" newline eventfld.long 0x00 4. "AHBCMDERR,AHB triggered Command Sequences Error Detected interrupt" "0,1" newline eventfld.long 0x00 3. "IPCMDERR,IP triggered Command Sequences Error Detected interrupt" "0,1" newline eventfld.long 0x00 2. "AHBCMDGE,AHB triggered Command Sequences Grant Timeout interrupt" "0,1" newline eventfld.long 0x00 1. "IPCMDGE,IP triggered Command Sequences Grant Timeout interrupt" "0,1" newline eventfld.long 0x00 0. "IPCMDDONE,IP triggered Command Sequences Execution finished interrupt" "0,1" rgroup.long 0x18++0x03 line.long 0x00 "LUTKEY,LUT Key Register" hexmask.long 0x00 0.--31. 1. "KEY,The Key to lock or unlock LUT" group.long 0x1C++0x03 line.long 0x00 "LUTCR,LUT Control Register" bitfld.long 0x00 1. "UNLOCK,Unlock LUT" "0,1" newline bitfld.long 0x00 0. "LOCK,Lock LUT" "0,1" group.long 0x20++0x03 line.long 0x00 "AHBRXBUF0CR0,AHB RX Buffer 0 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x24++0x03 line.long 0x00 "AHBRXBUF1CR0,AHB RX Buffer 1 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x28++0x03 line.long 0x00 "AHBRXBUF2CR0,AHB RX Buffer 2 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x2C++0x03 line.long 0x00 "AHBRXBUF3CR0,AHB RX Buffer 3 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x30++0x03 line.long 0x00 "AHBRXBUF4CR0,AHB RX Buffer 4 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x34++0x03 line.long 0x00 "AHBRXBUF5CR0,AHB RX Buffer 5 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x38++0x03 line.long 0x00 "AHBRXBUF6CR0,AHB RX Buffer 6 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" group.long 0x3C++0x03 line.long 0x00 "AHBRXBUF7CR0,AHB RX Buffer 7 Control Register 0" bitfld.long 0x00 31. "PREFETCHEN,AHB Read Prefetch Enable for current AHB RX Buffer corresponding Master" "0,1" newline bitfld.long 0x00 30. "REGIONEN,AHB RX Buffer address region funciton enable" "0,1" newline bitfld.long 0x00 24.--26. "PRIORITY,This priority for AHB Master Read which this AHB RX Buffer is assigned" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "MSTRID,This AHB RX Buffer is assigned according to AHB Master with ID (MSTR_ID)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. "BUFSZ,AHB RX Buffer Size in 64 bits" repeat 8. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0x40)++0x03 line.long 0x00 "AHBRXBUFCR[$1],AHB RX Buffer n Control Register 1" repeat.end group.long 0x60++0x03 line.long 0x00 "FLSHA1CR0,Flash Control Register 0" bitfld.long 0x00 29. "ADDRSHIFT,AHB address shift function control" "0: Disabled,1: Enabled" newline hexmask.long.tbyte 0x00 0.--22. 1. "FLSHSZ,Flash Size in KByte" group.long 0x64++0x03 line.long 0x00 "FLSHA2CR0,Flash Control Register 0" bitfld.long 0x00 29. "ADDRSHIFT,AHB address shift function control" "0: Disabled,1: Enabled" newline hexmask.long.tbyte 0x00 0.--22. 1. "FLSHSZ,Flash Size in KByte" group.long 0x68++0x03 line.long 0x00 "FLSHB1CR0,Flash Control Register 0" bitfld.long 0x00 29. "ADDRSHIFT,AHB address shift function control" "0: Disabled,1: Enabled" newline hexmask.long.tbyte 0x00 0.--22. 1. "FLSHSZ,Flash Size in KByte" group.long 0x6C++0x03 line.long 0x00 "FLSHB2CR0,Flash Control Register 0" bitfld.long 0x00 29. "ADDRSHIFT,AHB address shift function control" "0: Disabled,1: Enabled" newline hexmask.long.tbyte 0x00 0.--22. 1. "FLSHSZ,Flash Size in KByte" repeat 2. (strings "1" "2" )(list 0x0 0x4 ) group.long ($2+0x70)++0x03 line.long 0x00 "FLSHCR1A$1,Flash Control Register $1" hexmask.long.word 0x00 16.--31. 1. "CSINTERVAL,This field is used to set the minimum interval between flash device Chip selection deassertion and flash device Chip selection assertion" newline bitfld.long 0x00 15. "CSINTERVALUNIT,CS interval unit" "0: The CS interval unit is 1 serial clock cycle,1: The CS interval unit is 256 serial clock cycle" newline bitfld.long 0x00 11.--14. "CAS,Column Address Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. "WA,Word Addressable" "0,1" newline bitfld.long 0x00 5.--9. "TCSH,Serial Flash CS Hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--4. "TCSS,Serial Flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (strings "1" "2" )(list 0x0 0x4 ) group.long ($2+0x78)++0x03 line.long 0x00 "FLSHCR1B$1,Flash Control Register $1" hexmask.long.word 0x00 16.--31. 1. "CSINTERVAL,This field is used to set the minimum interval between flash device Chip selection deassertion and flash device Chip selection assertion" newline bitfld.long 0x00 15. "CSINTERVALUNIT,CS interval unit" "0: The CS interval unit is 1 serial clock cycle,1: The CS interval unit is 256 serial clock cycle" newline bitfld.long 0x00 11.--14. "CAS,Column Address Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. "WA,Word Addressable" "0,1" newline bitfld.long 0x00 5.--9. "TCSH,Serial Flash CS Hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--4. "TCSS,Serial Flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (strings "1" "2" )(list 0x0 0x4 ) group.long ($2+0x80)++0x03 line.long 0x00 "FLSHCR2A$1,Flash Control Register 2" bitfld.long 0x00 31. "CLRINSTRPTR,Clear the instruction pointer which is internally saved pointer by JMP_ON_CS" "0,1" newline bitfld.long 0x00 28.--30. "AWRWAITUNIT,AWRWAIT unit" "0: The AWRWAIT unit is 2 ahb clock cycle,1: The AWRWAIT unit is 8 ahb clock cycle,2: The AWRWAIT unit is 32 ahb clock cycle,3: The AWRWAIT unit is 128 ahb clock cycle,4: The AWRWAIT unit is 512 ahb clock cycle,5: The AWRWAIT unit is 2048 ahb clock cycle,6: The AWRWAIT unit is 8192 ahb clock cycle,7: The AWRWAIT unit is 32768 ahb clock cycle" newline hexmask.long.word 0x00 16.--27. 1. "AWRWAIT,For certain devices (such as FPGA) it need some time to write data into internal memory after the command sequences finished on FlexSPI interface" newline bitfld.long 0x00 13.--15. "AWRSEQNUM,Sequence Number for AHB Write triggered Command" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "AWRSEQID,Sequence Index for AHB Write triggered Command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5.--7. "ARDSEQNUM,Sequence Number for AHB Read triggered Command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "ARDSEQID,Sequence Index for AHB Read triggered Command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (strings "1" "2" )(list 0x0 0x4 ) group.long ($2+0x88)++0x03 line.long 0x00 "FLSHCR2B$1,Flash Control Register 2" bitfld.long 0x00 31. "CLRINSTRPTR,Clear the instruction pointer which is internally saved pointer by JMP_ON_CS" "0,1" newline bitfld.long 0x00 28.--30. "AWRWAITUNIT,AWRWAIT unit" "0: The AWRWAIT unit is 2 ahb clock cycle,1: The AWRWAIT unit is 8 ahb clock cycle,2: The AWRWAIT unit is 32 ahb clock cycle,3: The AWRWAIT unit is 128 ahb clock cycle,4: The AWRWAIT unit is 512 ahb clock cycle,5: The AWRWAIT unit is 2048 ahb clock cycle,6: The AWRWAIT unit is 8192 ahb clock cycle,7: The AWRWAIT unit is 32768 ahb clock cycle" newline hexmask.long.word 0x00 16.--27. 1. "AWRWAIT,For certain devices (such as FPGA) it need some time to write data into internal memory after the command sequences finished on FlexSPI interface" newline bitfld.long 0x00 13.--15. "AWRSEQNUM,Sequence Number for AHB Write triggered Command" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "AWRSEQID,Sequence Index for AHB Write triggered Command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5.--7. "ARDSEQNUM,Sequence Number for AHB Read triggered Command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "ARDSEQID,Sequence Index for AHB Read triggered Command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end group.long 0x90++0x03 line.long 0x00 "FLSHCR3,Flash Control Register 3" hexmask.long.byte 0x00 24.--31. 1. "SIOOENONIDLE,Data pins (SIO) default output enabled in NON-IDLE state (chip selection is asserted)" newline hexmask.long.byte 0x00 16.--23. 1. "SIOOEIDLE,Data pins (SIO) default output enabled in IDLE state" newline hexmask.long.byte 0x00 8.--15. 1. "SIODONONIDLE,Data pins (SIO) default output level in NON-IDLE state (chip selection is asserted)" newline hexmask.long.byte 0x00 0.--7. 1. "SIODOIDLE,Data pins (SIO) default output level in IDLE state" group.long 0x94++0x03 line.long 0x00 "FLSHCR4,Flash Control Register 4" bitfld.long 0x00 8. "WMOPTDIFF,Set this bit will make IP be able to support write mask on PORTA/PORTB independently" "0: Write Mask behave same on PORTA and PORTB..,1: Write Mask behave differently PORTA is.." newline bitfld.long 0x00 7. "WMOPT2B,Similarly like WMOPT2 only control PORTB when WMOPTDIFF is 1" "0: DQSB will be used as Write Mask when writing..,1: DQSB will not be used as Write Mask when.." newline bitfld.long 0x00 6. "WMOPT1B,Similarly like WMOPT1 only control PORTB when WMOPTDIFF is 1" "0: DQSB will be used as Write Mask when writing..,1: DQSB will not be used as Write Mask when.." newline bitfld.long 0x00 5. "DQSPOSCAP,Set this bit will force data capture register using external-provided DQS's rising edge to capture the data" "0: DQS falling edge will be used to capture the..,1: DQS rising edge will be used to capture the.." newline bitfld.long 0x00 4. "SCKRSTDISABLED,Reset the SCLK to default level before PCS signal is deasserted" "0: SCLK will be reseted to return to default..,1: SCLK will be reseted to return to default.." newline bitfld.long 0x00 3. "WMENB,Write mask enable bit for flash device on port B" "0: Write mask is disabled DQS(RWDS) pin will not..,1: Write mask is enabled DQS(RWDS) pin will be.." newline bitfld.long 0x00 2. "WMENA,Write mask enable bit for flash device on port A" "0: Write mask is disabled DQS(RWDS) pin will not..,1: Write mask is enabled DQS(RWDS) pin will be.." newline bitfld.long 0x00 1. "WMOPT2,Write mask option bit 2" "0: DQS pin will be used as Write Mask when..,1: DQS pin will not be used as Write Mask when.." newline bitfld.long 0x00 0. "WMOPT1,Write mask option bit 1" "0: DQS pin will be used as Write Mask when..,1: DQS pin will not be used as Write Mask when.." repeat 2. (strings "5" "6" )(list 0x0 0x4 ) rgroup.long ($2+0x98)++0x03 line.long 0x00 "FLSHCR$1,Flash Control Register $1" repeat.end group.long 0xA0++0x03 line.long 0x00 "IPCR0,IP Control Register 0" hexmask.long 0x00 0.--31. 1. "SFAR,Serial Flash Address for IP command" group.long 0xA4++0x03 line.long 0x00 "IPCR1,IP Control Register 1" bitfld.long 0x00 31. "IPAREN,Parallel mode Enabled for IP command" "0: Flash will be accessed in Individual mode,1: Flash will be accessed in Parallel mode" newline bitfld.long 0x00 24.--26. "ISEQNUM,Sequence Number for IP command: ISEQNUM+1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "ISEQID,Sequence Index in LUT for IP command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "IDATSZ,Flash Read/Program Data Size (in Bytes) for IP command" repeat 2. (strings "2" "3" )(list 0x0 0x4 ) rgroup.long ($2+0xA8)++0x03 line.long 0x00 "IPCR$1,IP Control Register $1" repeat.end group.long 0xB0++0x03 line.long 0x00 "IPCMD,IP Command Register" bitfld.long 0x00 0. "TRG,Setting this bit will trigger an IP Command" "0,1" group.long 0xB4++0x03 line.long 0x00 "DLPR,Data Learn Pattern Register" hexmask.long 0x00 0.--31. 1. "DLP,Data Learning Pattern" group.long 0xB8++0x03 line.long 0x00 "IPRXFCR,IP RX FIFO Control Register" bitfld.long 0x00 2.--7. "RXWMRK,Watermark level is (RXWMRK+1)*64 Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "RXDMAEN,IP RX FIFO reading by DMA enabled" "0: IP RX FIFO would be read by processor,1: IP RX FIFO would be read by DMA" newline bitfld.long 0x00 0. "CLRIPRXF,Clear all valid data entries in IP RX FIFO" "0,1" group.long 0xBC++0x03 line.long 0x00 "IPTXFCR,IP TX FIFO Control Register" hexmask.long.byte 0x00 2.--8. 1. "TXWMRK,Watermark level is (TXWMRK+1)*64 Bits" newline bitfld.long 0x00 1. "TXDMAEN,IP TX FIFO filling by DMA enabled" "0: IP TX FIFO would be filled by processor,1: IP TX FIFO would be filled by DMA" newline bitfld.long 0x00 0. "CLRIPTXF,Clear all valid data entries in IP TX FIFO" "0,1" group.long 0xC0++0x03 line.long 0x00 "DLLCRA,DLL Control Register 0" bitfld.long 0x00 28.--31. "REFUPDATEINT,DLL control loop update interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 20.--27. 1. "SLVUPDATEINT,Slave delay line update interval" newline bitfld.long 0x00 17.--19. "REFPHASESTART,Reference clock delay line start phase" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15.--16. "REFPHASEGAP,Reference clock delay line phase adjust gap" "0,1,2,3" newline bitfld.long 0x00 9.--14. "OVRDVAL,Slave clock delay line delay cell number selection override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8. "OVRDEN,Slave clock delay line delay cell number selection override enable" "0,1" newline bitfld.long 0x00 7. "GATEUPDATE,Software could force to gate the update of DLL by set this field" "0,1" newline bitfld.long 0x00 3.--6. "SLVDLYTARGET,The delay target for slave delay line is: ((SLVDLYTARGET+1) * 1/32 * clock cycle of reference clock (serial root clock). If serial root clock is >= 100 MHz DLLEN set to 0x1 OVRDEN set to =0x0 then SLVDLYTARGET setting of 0xF is recommended" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "FORCEUPDATE,Force update" "0,1" newline bitfld.long 0x00 1. "DLLRESET,DLL reset" "0,1" newline bitfld.long 0x00 0. "DLLEN,DLL calibration enable" "0,1" group.long 0xC4++0x03 line.long 0x00 "DLLCRB,DLL Control Register 0" bitfld.long 0x00 28.--31. "REFUPDATEINT,DLL control loop update interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 20.--27. 1. "SLVUPDATEINT,Slave delay line update interval" newline bitfld.long 0x00 17.--19. "REFPHASESTART,Reference clock delay line start phase" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15.--16. "REFPHASEGAP,Reference clock delay line phase adjust gap" "0,1,2,3" newline bitfld.long 0x00 9.--14. "OVRDVAL,Slave clock delay line delay cell number selection override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8. "OVRDEN,Slave clock delay line delay cell number selection override enable" "0,1" newline bitfld.long 0x00 7. "GATEUPDATE,Software could force to gate the update of DLL by set this field" "0,1" newline bitfld.long 0x00 3.--6. "SLVDLYTARGET,The delay target for slave delay line is: ((SLVDLYTARGET+1) * 1/32 * clock cycle of reference clock (serial root clock). If serial root clock is >= 100 MHz DLLEN set to 0x1 OVRDEN set to =0x0 then SLVDLYTARGET setting of 0xF is recommended" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "FORCEUPDATE,Force update" "0,1" newline bitfld.long 0x00 1. "DLLRESET,DLL reset" "0,1" newline bitfld.long 0x00 0. "DLLEN,DLL calibration enable" "0,1" group.long 0xC8++0x03 line.long 0x00 "MISCCR2,Misc Control Register 2" bitfld.long 0x00 8. "IPEDMUX_SWRESET,This bit is software reset for IPED MUX.This bit is not auto-cleared by hardware" "0,1" newline bitfld.long 0x00 7. "TIMEREXTEND,Timer extend" "0,1" newline bitfld.long 0x00 6. "OTFAD_SWRESET,This bit is software reset for OTFAD.It is connected to output.This bit is not auto-cleared by hardware" "0,1" newline bitfld.long 0x00 5. "RWDSOEOPT,FlexSPI controller could delay the RWDS (write mask) output enable desertion by one cycle to relax transmit timing" "0: RWDS output enable desertion is delayed by..,1: RWDS output enable desertion is not delayed.." newline bitfld.long 0x00 4. "DOEOPT,FlexSPI controller could delay the data lines output enable desertion by one cycle to relax transmit timing" "0: Data line output enable desertion is delayed..,1: Data line output enable desertion is not.." newline bitfld.long 0x00 2.--3. "PHASERSTOPT,SCLK/DQS output clock phase may need reset after a DDR sequence finished (high level)" "0: SCLK/DQS output phase will be reset 0.5 cycle..,1: SCLK/DQS output phase will be reset 1.5 cycle..,2: SCLK/DQS output phase will be reset 2.5 cycle..,3: SCLK/DQS output phase will be reset 3.5 cycle.." newline bitfld.long 0x00 0.--1. "LEARNPHASEGAP,The clock phase gap for data learning is: N delay cells delay (75ps~200ps for each delay cell)" "0: val0,1: val1,2: val2,3: val3" group.long 0xCC++0x03 line.long 0x00 "MISCCR3,Misc Control Register 3" bitfld.long 0x00 16.--19. "TX_FIFO_WR_DELAY,Number of AHB clk delay between internal async TX FIFO push" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "RX_BUF_WR_EN_DELAY1,Number of AHB CLK delay between ahb_rx_buf_wr_en and next ahb_rx_buf_wr_en" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "NEW_FLSH_TRANS_DELAY,Number of AHB CLK delay between new_flash_trans and ahb_rx_buf_wr_en" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RX_BUF_WR_EN_DELAY0,Number of AHB CLK delay between ahb_rx_buf_wr_en and next ahb_rx_buf_wr_en" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "FETCH_NEXT_KEY_DELAY,Number of AHB CLK delay between fetch_next_key and ahb_rx_buf_wr_en" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xE0++0x03 line.long 0x00 "STS0,Status Register 0" bitfld.long 0x00 8.--11. "DATALEARNPHASEB,Indicate the sampling clock phase selection on Port B after Data Learning" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "DATALEARNPHASEA,Indicate the sampling clock phase selection on Port A after Data Learning" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2.--3. "ARBCMDSRC,This status field indicates the trigger source of current command sequence granted by arbitrator" "0: Triggered by AHB read command (triggered by..,1: Triggered by AHB write command (triggered by..,2: Triggered by IP command (triggered by setting..,3: Triggered by suspended command (resumed)" newline bitfld.long 0x00 1. "ARBIDLE,This status bit indicates the state machine in ARB_CTL is busy and there is command sequence granted by arbitrator and not finished yet on FlexSPI interface" "0,1" newline bitfld.long 0x00 0. "SEQIDLE,This status bit indicates the state machine in SEQ_CTL is idle and there is command sequence executing on FlexSPI interface" "0,1" rgroup.long 0xE4++0x03 line.long 0x00 "STS1,Status Register 1" bitfld.long 0x00 24.--27. "IPCMDERRCODE,Indicates the Error Code when IP command Error detected" "0: No error,1: Write/Read data size is not a multiple of 2..,2: IP command with JMP_ON_CS instruction used in..,3: There is unknown instruction opcode in the..,4: Instruction DUMMY_SDR/DUMMY_RWDS_SDR used in..,5: Instruction DUMMY_DDR/DUMMY_RWDS_DDR used in..,6: Flash access start address exceed the whole..,?,?,?,?,?,?,?,14: Sequence execution timeout,15: Flash boundary crossed" newline bitfld.long 0x00 16.--20. "IPCMDERRID,Indicates the sequence Index when IP command error detected" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--11. "AHBCMDERRCODE,Indicates the Error Code when AHB command Error detected" "0: No error,1: Write/Read data size is not a multiple of 2..,2: AHB Write command with JMP_ON_CS instruction..,3: There is unknown instruction opcode in the..,4: Instruction DUMMY_SDR/DUMMY_RWDS_SDR used in..,5: Instruction DUMMY_DDR/DUMMY_RWDS_DDR used in..,?,?,?,?,?,?,?,?,14: Sequence execution timeout,?..." newline bitfld.long 0x00 0.--4. "AHBCMDERRID,Indicates the sequence index when an AHB command error is detected" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xE8++0x03 line.long 0x00 "STS2,Status Register 2" bitfld.long 0x00 24.--29. "BREFSEL,Flash B sample clock reference delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 18.--23. "BSLVSEL,Flash B sample clock slave delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 17. "BREFLOCK,Flash B sample clock reference delay line locked" "0,1" newline bitfld.long 0x00 16. "BSLVLOCK,Flash B sample clock slave delay line locked" "0,1" newline bitfld.long 0x00 8.--13. "AREFSEL,Flash A sample clock reference delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 2.--7. "ASLVSEL,Flash A sample clock slave delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "AREFLOCK,Flash A sample clock reference delay line locked" "0,1" newline bitfld.long 0x00 0. "ASLVLOCK,Flash A sample clock slave delay line locked" "0,1" rgroup.long 0xEC++0x03 line.long 0x00 "AHBSPNDSTS,AHB Suspend Status Register" hexmask.long.word 0x00 16.--31. 1. "DATLFT,Left Data size for suspended command sequence (in byte)" newline bitfld.long 0x00 1.--3. "BUFID,AHB RX BUF ID for suspended command sequence" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "ACTIVE,Indicates if an AHB read prefetch command sequence has been suspended" "0,1" rgroup.long 0xF0++0x03 line.long 0x00 "IPRXFSTS,IP RX FIFO Status Register" hexmask.long.word 0x00 16.--31. 1. "RDCNTR,Total Read Data Counter: RDCNTR * 64 Bits" newline hexmask.long.byte 0x00 0.--7. 1. "FILL,Fill level of IP RX FIFO" rgroup.long 0xF4++0x03 line.long 0x00 "IPTXFSTS,IP TX FIFO Status Register" hexmask.long.word 0x00 16.--31. 1. "WRCNTR,Total Write Data Counter: WRCNTR * 64 Bits" newline hexmask.long.byte 0x00 0.--7. 1. "FILL,Fill level of IP TX FIFO" repeat 32. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x100)++0x03 line.long 0x00 "RFDR[$1],IP RX FIFO Data Register x $1" hexmask.long 0x00 0.--31. 1. "RXDATA,RX Data" repeat.end repeat 32. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x180)++0x03 line.long 0x00 "TFDR[$1],IP TX FIFO Data Register x $1" hexmask.long 0x00 0.--31. 1. "TXDATA,TX Data" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) group.long ($2+0x200)++0x03 line.long 0x00 "LUT[$1],LUT x $1" bitfld.long 0x00 26.--31. "OPCODE1,OPCODE1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24.--25. "NUM_PADS1,NUM_PADS1" "0,1,2,3" newline hexmask.long.byte 0x00 16.--23. 1. "OPERAND1,OPERAND1" newline bitfld.long 0x00 10.--15. "OPCODE0,OPCODE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--9. "NUM_PADS0,NUM_PADS0" "0,1,2,3" newline hexmask.long.byte 0x00 0.--7. 1. "OPERAND0,OPERAND0" repeat.end group.long 0x440++0x03 line.long 0x00 "AHBBUFREGIONSTART0,RX BUF Start address of region 0" hexmask.long.tbyte 0x00 12.--31. 1. "START_ADDRESS,Start address of region 0" group.long 0x444++0x03 line.long 0x00 "AHBBUFREGIONEND0,RX BUF region End address of region 0" hexmask.long.tbyte 0x00 12.--31. 1. "END_ADDRESS,End address of region 0" group.long 0x448++0x03 line.long 0x00 "AHBBUFREGIONSTART1,RX BUF Start address of region 1" hexmask.long.tbyte 0x00 12.--31. 1. "START_ADDRESS,Start address of region 1" group.long 0x44C++0x03 line.long 0x00 "AHBBUFREGIONEND1,RX BUF region End address of region 1" hexmask.long.tbyte 0x00 12.--31. 1. "END_ADDRESS,End address of region 1" group.long 0x450++0x03 line.long 0x00 "AHBBUFREGIONSTART2,RX BUF Start address of region 2" hexmask.long.tbyte 0x00 12.--31. 1. "START_ADDRESS,Start address of region 2" group.long 0x454++0x03 line.long 0x00 "AHBBUFREGIONEND2,RX BUF region End address of region 2" hexmask.long.tbyte 0x00 12.--31. 1. "END_ADDRESS,End address of region 2" group.long 0x458++0x03 line.long 0x00 "AHBBUFREGIONSTART3,RX BUF Start address of region 3" hexmask.long.tbyte 0x00 12.--31. 1. "START_ADDRESS,Start address of region 3" group.long 0x45C++0x03 line.long 0x00 "AHBBUFREGIONEND3,RX BUF region End address of region 3" hexmask.long.tbyte 0x00 12.--31. 1. "END_ADDRESS,End address of region 3" tree.end tree "GICA" base ad:0x48010000 wgroup.long 0x40++0x03 line.long 0x00 "GICA_SETSPI_NSR,GICA_SETSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x48++0x03 line.long 0x00 "GICA_CLRSPI_NSR,GICA_CLRSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x50++0x03 line.long 0x00 "GICA_SETSPI_SR,GICA_SETSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x58++0x03 line.long 0x00 "GICA_CLRSPI_SR,GICA_CLRSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" tree.end tree "GICD" base ad:0x48000000 group.long 0x00++0x03 line.long 0x00 "GICD_CTLR,GICD_CTLR" rbitfld.long 0x00 31. "RWP,RWP" "0,1" hexmask.long.tbyte 0x00 8.--30. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 7. "E1NWF,E1NWF" "0,1" rbitfld.long 0x00 6. "DS,DS" "0,1" newline bitfld.long 0x00 5. "ARE_NS,ARE_NS" "0,1" bitfld.long 0x00 4. "ARE_S,ARE_S" "0,1" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 2. "EnableGrp1_s,EnableGrp1_s" "0,1" newline bitfld.long 0x00 1. "EnableGrp1_ns,EnableGrp1_ns" "0,1" bitfld.long 0x00 0. "EnableGrp0,EnableGrp0" "0,1" rgroup.long 0x04++0x03 line.long 0x00 "GICD_TYPER,GICD_TYPER" bitfld.long 0x00 26.--31. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 25. "No1N,No1N" "0,1" bitfld.long 0x00 24. "A3V,A3V" "0,1" bitfld.long 0x00 19.--23. "IDbits,IDbits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 18. "DVIS,DVIS" "0,1" bitfld.long 0x00 17. "LPIS,LPIS" "0,1" bitfld.long 0x00 16. "MBIS,MBIS" "0,1" bitfld.long 0x00 11.--15. "LSPI,LSPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "SecurityExtn,SecurityExtn" "0,1" bitfld.long 0x00 8.--9. "RESERVED0,RESERVED0" "0,1,2,3" bitfld.long 0x00 5.--7. "CPUNumber,CPUNumber" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "ITLinesNumber,ITLinesNumber" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x08++0x03 line.long 0x00 "GICD_IIDR,GICD_IIDR" hexmask.long.byte 0x00 24.--31. 1. "ProductID,ProductID" bitfld.long 0x00 20.--23. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Variant,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "Revision,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--11. 1. "Implementer,Implementer" group.long 0x20++0x03 line.long 0x00 "GICD_FCTLR,GICD_FCTLR" bitfld.long 0x00 27.--31. "RESERVED4,RESERVED4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. "POS,POS" "0,1" bitfld.long 0x00 25. "QDENY,QDENY" "0,1" bitfld.long 0x00 22.--24. "RESERVED3,RESERVED3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "DCC,DCC" "0,1" bitfld.long 0x00 18.--20. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--17. "NSACR,NSACR" "0,1,2,3" bitfld.long 0x00 13.--15. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 4.--12. 1. "CGO,CGO" bitfld.long 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "SIP,SIP" "0,1" group.long 0x24++0x03 line.long 0x00 "GICD_SAC,GICD_SAC" hexmask.long 0x00 3.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 2. "GICPNS,GICPNS" "0,1" bitfld.long 0x00 1. "GICTNS,GICTNS" "0,1" bitfld.long 0x00 0. "DSL,DSL" "0,1" wgroup.long 0x40++0x03 line.long 0x00 "GICD_SETSPI_NSR,GICD_SETSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x48++0x03 line.long 0x00 "GICD_CLRSPI_NSR,GICD_CLRSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x50++0x03 line.long 0x00 "GICD_SETSPI_SR,GICD_SETSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x58++0x03 line.long 0x00 "GICD_CLRSPI_SR,GICD_CLRSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x84)++0x03 line.long 0x00 "GICD_IGROUPR$1,GICD_IGROUPR $1" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" newline bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" newline bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" newline bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" newline bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" newline bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" newline bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xC4)++0x03 line.long 0x00 "GICD_IGROUPR$1,GICD_IGROUPR $1" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" newline bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" newline bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" newline bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" newline bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" newline bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" newline bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x104)++0x03 line.long 0x00 "GICD_ISENABLER$1,GICD_ISENABLER $1" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" newline bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" newline bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" newline bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" newline bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" newline bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" newline bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x144)++0x03 line.long 0x00 "GICD_ISENABLER$1,GICD_ISENABLER $1" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" newline bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" newline bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" newline bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" newline bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" newline bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" newline bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x184)++0x03 line.long 0x00 "GICD_ICENABLER$1,GICD_ICENABLER $1" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" newline bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" newline bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" newline bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" newline bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" newline bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" newline bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x1C4)++0x03 line.long 0x00 "GICD_ICENABLER$1,GICD_ICENABLER $1" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" newline bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" newline bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" newline bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" newline bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" newline bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" newline bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x204)++0x03 line.long 0x00 "GICD_ISPENDR$1,GICD_ISPENDR $1" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" newline bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" newline bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" newline bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" newline bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" newline bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" newline bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x244)++0x03 line.long 0x00 "GICD_ISPENDR$1,GICD_ISPENDR $1" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" newline bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" newline bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" newline bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" newline bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" newline bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" newline bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x284)++0x03 line.long 0x00 "GICD_ICPENDR$1,GICD_ICPENDR $1" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" newline bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" newline bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" newline bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" newline bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" newline bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" newline bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x2C4)++0x03 line.long 0x00 "GICD_ICPENDR$1,GICD_ICPENDR $1" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" newline bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" newline bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" newline bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" newline bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" newline bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" newline bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x304)++0x03 line.long 0x00 "GICD_ISACTIVER$1,GICD_ISACTIVER $1" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" newline bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" newline bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" newline bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" newline bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" newline bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" newline bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x344)++0x03 line.long 0x00 "GICD_ISACTIVER$1,GICD_ISACTIVER $1" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" newline bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" newline bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" newline bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" newline bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" newline bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" newline bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x384)++0x03 line.long 0x00 "GICD_ICACTIVER$1,GICD_ICACTIVER $1" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" newline bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" newline bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" newline bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" newline bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" newline bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" newline bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x3C4)++0x03 line.long 0x00 "GICD_ICACTIVER$1,GICD_ICACTIVER $1" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" newline bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" newline bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" newline bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" newline bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" newline bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" newline bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat.end repeat 16. (strings "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" "18" "19" "20" "21" "22" "23" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x420)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" "34" "35" "36" "37" "38" "39" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x460)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" "50" "51" "52" "53" "54" "55" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x4A0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "56" "57" "58" "59" "60" "61" "62" "63" "64" "65" "66" "67" "68" "69" "70" "71" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x4E0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "72" "73" "74" "75" "76" "77" "78" "79" "80" "81" "82" "83" "84" "85" "86" "87" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x520)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "88" "89" "90" "91" "92" "93" "94" "95" "96" "97" "98" "99" "100" "101" "102" "103" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x560)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "104" "105" "106" "107" "108" "109" "110" "111" "112" "113" "114" "115" "116" "117" "118" "119" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x5A0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "120" "121" "122" "123" "124" "125" "126" "127" "128" "129" "130" "131" "132" "133" "134" "135" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x5E0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "136" "137" "138" "139" "140" "141" "142" "143" "144" "145" "146" "147" "148" "149" "150" "151" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x620)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "152" "153" "154" "155" "156" "157" "158" "159" "160" "161" "162" "163" "164" "165" "166" "167" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x660)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "168" "169" "170" "171" "172" "173" "174" "175" "176" "177" "178" "179" "180" "181" "182" "183" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x6A0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "184" "185" "186" "187" "188" "189" "190" "191" "192" "193" "194" "195" "196" "197" "198" "199" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x6E0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "200" "201" "202" "203" "204" "205" "206" "207" "208" "209" "210" "211" "212" "213" "214" "215" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x720)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "216" "217" "218" "219" "220" "221" "222" "223" "224" "225" "226" "227" "228" "229" "230" "231" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x760)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "232" "233" "234" "235" "236" "237" "238" "239" "240" "241" "242" "243" "244" "245" "246" "247" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x7A0)++0x03 line.long 0x00 "GICD_IPRIORITYR$1,GICD_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC08)++0x03 line.long 0x00 "GICD_ICFGR$1,GICD_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC48)++0x03 line.long 0x00 "GICD_ICFGR$1,GICD_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC88)++0x03 line.long 0x00 "GICD_ICFGR$1,GICD_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xCC8)++0x03 line.long 0x00 "GICD_ICFGR$1,GICD_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xD04)++0x03 line.long 0x00 "GICD_IGRPMODR$1,GICD_IGRPMODR $1" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" newline bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" newline bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" newline bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" newline bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" newline bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" newline bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xD44)++0x03 line.long 0x00 "GICD_IGRPMODR$1,GICD_IGRPMODR $1" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" newline bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" newline bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" newline bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" newline bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" newline bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" newline bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE08)++0x03 line.long 0x00 "GICD_NSACR$1,GICD_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE48)++0x03 line.long 0x00 "GICD_NSACR$1,GICD_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE88)++0x03 line.long 0x00 "GICD_NSACR$1,GICD_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xEC8)++0x03 line.long 0x00 "GICD_NSACR$1,GICD_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "32" "33" "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6100)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "48" "49" "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" "62" "63" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6180)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "64" "65" "66" "67" "68" "69" "70" "71" "72" "73" "74" "75" "76" "77" "78" "79" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6200)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "80" "81" "82" "83" "84" "85" "86" "87" "88" "89" "90" "91" "92" "93" "94" "95" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6280)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "96" "97" "98" "99" "100" "101" "102" "103" "104" "105" "106" "107" "108" "109" "110" "111" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6300)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "112" "113" "114" "115" "116" "117" "118" "119" "120" "121" "122" "123" "124" "125" "126" "127" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6380)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "128" "129" "130" "131" "132" "133" "134" "135" "136" "137" "138" "139" "140" "141" "142" "143" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6400)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "144" "145" "146" "147" "148" "149" "150" "151" "152" "153" "154" "155" "156" "157" "158" "159" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6480)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "160" "161" "162" "163" "164" "165" "166" "167" "168" "169" "170" "171" "172" "173" "174" "175" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6500)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "176" "177" "178" "179" "180" "181" "182" "183" "184" "185" "186" "187" "188" "189" "190" "191" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6580)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "192" "193" "194" "195" "196" "197" "198" "199" "200" "201" "202" "203" "204" "205" "206" "207" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6600)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "208" "209" "210" "211" "212" "213" "214" "215" "216" "217" "218" "219" "220" "221" "222" "223" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6680)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "224" "225" "226" "227" "228" "229" "230" "231" "232" "233" "234" "235" "236" "237" "238" "239" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6700)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "240" "241" "242" "243" "244" "245" "246" "247" "248" "249" "250" "251" "252" "253" "254" "255" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6780)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "256" "257" "258" "259" "260" "261" "262" "263" "264" "265" "266" "267" "268" "269" "270" "271" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6800)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "272" "273" "274" "275" "276" "277" "278" "279" "280" "281" "282" "283" "284" "285" "286" "287" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6880)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "288" "289" "290" "291" "292" "293" "294" "295" "296" "297" "298" "299" "300" "301" "302" "303" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6900)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "304" "305" "306" "307" "308" "309" "310" "311" "312" "313" "314" "315" "316" "317" "318" "319" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6980)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "320" "321" "322" "323" "324" "325" "326" "327" "328" "329" "330" "331" "332" "333" "334" "335" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6A00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "336" "337" "338" "339" "340" "341" "342" "343" "344" "345" "346" "347" "348" "349" "350" "351" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6A80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "352" "353" "354" "355" "356" "357" "358" "359" "360" "361" "362" "363" "364" "365" "366" "367" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6B00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "368" "369" "370" "371" "372" "373" "374" "375" "376" "377" "378" "379" "380" "381" "382" "383" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6B80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "384" "385" "386" "387" "388" "389" "390" "391" "392" "393" "394" "395" "396" "397" "398" "399" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6C00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "400" "401" "402" "403" "404" "405" "406" "407" "408" "409" "410" "411" "412" "413" "414" "415" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6C80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "416" "417" "418" "419" "420" "421" "422" "423" "424" "425" "426" "427" "428" "429" "430" "431" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6D00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "432" "433" "434" "435" "436" "437" "438" "439" "440" "441" "442" "443" "444" "445" "446" "447" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6D80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "448" "449" "450" "451" "452" "453" "454" "455" "456" "457" "458" "459" "460" "461" "462" "463" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6E00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "464" "465" "466" "467" "468" "469" "470" "471" "472" "473" "474" "475" "476" "477" "478" "479" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6E80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "480" "481" "482" "483" "484" "485" "486" "487" "488" "489" "490" "491" "492" "493" "494" "495" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6F00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "496" "497" "498" "499" "500" "501" "502" "503" "504" "505" "506" "507" "508" "509" "510" "511" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6F80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "512" "513" "514" "515" "516" "517" "518" "519" "520" "521" "522" "523" "524" "525" "526" "527" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7000)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "528" "529" "530" "531" "532" "533" "534" "535" "536" "537" "538" "539" "540" "541" "542" "543" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7080)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "544" "545" "546" "547" "548" "549" "550" "551" "552" "553" "554" "555" "556" "557" "558" "559" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7100)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "560" "561" "562" "563" "564" "565" "566" "567" "568" "569" "570" "571" "572" "573" "574" "575" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7180)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "576" "577" "578" "579" "580" "581" "582" "583" "584" "585" "586" "587" "588" "589" "590" "591" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7200)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "592" "593" "594" "595" "596" "597" "598" "599" "600" "601" "602" "603" "604" "605" "606" "607" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7280)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "608" "609" "610" "611" "612" "613" "614" "615" "616" "617" "618" "619" "620" "621" "622" "623" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7300)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "624" "625" "626" "627" "628" "629" "630" "631" "632" "633" "634" "635" "636" "637" "638" "639" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7380)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "640" "641" "642" "643" "644" "645" "646" "647" "648" "649" "650" "651" "652" "653" "654" "655" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7400)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "656" "657" "658" "659" "660" "661" "662" "663" "664" "665" "666" "667" "668" "669" "670" "671" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7480)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "672" "673" "674" "675" "676" "677" "678" "679" "680" "681" "682" "683" "684" "685" "686" "687" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7500)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "688" "689" "690" "691" "692" "693" "694" "695" "696" "697" "698" "699" "700" "701" "702" "703" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7580)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "704" "705" "706" "707" "708" "709" "710" "711" "712" "713" "714" "715" "716" "717" "718" "719" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7600)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "720" "721" "722" "723" "724" "725" "726" "727" "728" "729" "730" "731" "732" "733" "734" "735" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7680)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "736" "737" "738" "739" "740" "741" "742" "743" "744" "745" "746" "747" "748" "749" "750" "751" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7700)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "752" "753" "754" "755" "756" "757" "758" "759" "760" "761" "762" "763" "764" "765" "766" "767" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7780)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "768" "769" "770" "771" "772" "773" "774" "775" "776" "777" "778" "779" "780" "781" "782" "783" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7800)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "784" "785" "786" "787" "788" "789" "790" "791" "792" "793" "794" "795" "796" "797" "798" "799" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7880)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "800" "801" "802" "803" "804" "805" "806" "807" "808" "809" "810" "811" "812" "813" "814" "815" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7900)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "816" "817" "818" "819" "820" "821" "822" "823" "824" "825" "826" "827" "828" "829" "830" "831" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7980)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "832" "833" "834" "835" "836" "837" "838" "839" "840" "841" "842" "843" "844" "845" "846" "847" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7A00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "848" "849" "850" "851" "852" "853" "854" "855" "856" "857" "858" "859" "860" "861" "862" "863" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7A80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "864" "865" "866" "867" "868" "869" "870" "871" "872" "873" "874" "875" "876" "877" "878" "879" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7B00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "880" "881" "882" "883" "884" "885" "886" "887" "888" "889" "890" "891" "892" "893" "894" "895" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7B80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "896" "897" "898" "899" "900" "901" "902" "903" "904" "905" "906" "907" "908" "909" "910" "911" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7C00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "912" "913" "914" "915" "916" "917" "918" "919" "920" "921" "922" "923" "924" "925" "926" "927" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7C80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "928" "929" "930" "931" "932" "933" "934" "935" "936" "937" "938" "939" "940" "941" "942" "943" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7D00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "944" "945" "946" "947" "948" "949" "950" "951" "952" "953" "954" "955" "956" "957" "958" "959" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7D80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "960" "961" "962" "963" "964" "965" "966" "967" "968" "969" "970" "971" "972" "973" "974" "975" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7E00)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "976" "977" "978" "979" "980" "981" "982" "983" "984" "985" "986" "987" "988" "989" "990" "991" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7E80)++0x07 line.quad 0x00 "GICD_IROUTER$1,GICD_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE008)++0x03 line.long 0x00 "GICD_ICLAR$1,GICD_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE048)++0x03 line.long 0x00 "GICD_ICLAR$1,GICD_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE088)++0x03 line.long 0x00 "GICD_ICLAR$1,GICD_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xE0C8)++0x03 line.long 0x00 "GICD_ICLAR$1,GICD_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE104)++0x03 line.long 0x00 "GICD_IERRR$1,GICD_IERRR $1" bitfld.long 0x00 31. "status31,status31" "0,1" bitfld.long 0x00 30. "status30,status30" "0,1" bitfld.long 0x00 29. "status29,status29" "0,1" bitfld.long 0x00 28. "status28,status28" "0,1" newline bitfld.long 0x00 27. "status27,status27" "0,1" bitfld.long 0x00 26. "status26,status26" "0,1" bitfld.long 0x00 25. "status25,status25" "0,1" bitfld.long 0x00 24. "status24,status24" "0,1" newline bitfld.long 0x00 23. "status23,status23" "0,1" bitfld.long 0x00 22. "status22,status22" "0,1" bitfld.long 0x00 21. "status21,status21" "0,1" bitfld.long 0x00 20. "status20,status20" "0,1" newline bitfld.long 0x00 19. "status19,status19" "0,1" bitfld.long 0x00 18. "status18,status18" "0,1" bitfld.long 0x00 17. "status17,status17" "0,1" bitfld.long 0x00 16. "status16,status16" "0,1" newline bitfld.long 0x00 15. "status15,status15" "0,1" bitfld.long 0x00 14. "status14,status14" "0,1" bitfld.long 0x00 13. "status13,status13" "0,1" bitfld.long 0x00 12. "status12,status12" "0,1" newline bitfld.long 0x00 11. "status11,status11" "0,1" bitfld.long 0x00 10. "status10,status10" "0,1" bitfld.long 0x00 9. "status9,status9" "0,1" bitfld.long 0x00 8. "status8,status8" "0,1" newline bitfld.long 0x00 7. "status7,status7" "0,1" bitfld.long 0x00 6. "status6,status6" "0,1" bitfld.long 0x00 5. "status5,status5" "0,1" bitfld.long 0x00 4. "status4,status4" "0,1" newline bitfld.long 0x00 3. "status3,status3" "0,1" bitfld.long 0x00 2. "status2,status2" "0,1" bitfld.long 0x00 1. "status1,status1" "0,1" bitfld.long 0x00 0. "status0,status0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xE144)++0x03 line.long 0x00 "GICD_IERRR$1,GICD_IERRR $1" bitfld.long 0x00 31. "status31,status31" "0,1" bitfld.long 0x00 30. "status30,status30" "0,1" bitfld.long 0x00 29. "status29,status29" "0,1" bitfld.long 0x00 28. "status28,status28" "0,1" newline bitfld.long 0x00 27. "status27,status27" "0,1" bitfld.long 0x00 26. "status26,status26" "0,1" bitfld.long 0x00 25. "status25,status25" "0,1" bitfld.long 0x00 24. "status24,status24" "0,1" newline bitfld.long 0x00 23. "status23,status23" "0,1" bitfld.long 0x00 22. "status22,status22" "0,1" bitfld.long 0x00 21. "status21,status21" "0,1" bitfld.long 0x00 20. "status20,status20" "0,1" newline bitfld.long 0x00 19. "status19,status19" "0,1" bitfld.long 0x00 18. "status18,status18" "0,1" bitfld.long 0x00 17. "status17,status17" "0,1" bitfld.long 0x00 16. "status16,status16" "0,1" newline bitfld.long 0x00 15. "status15,status15" "0,1" bitfld.long 0x00 14. "status14,status14" "0,1" bitfld.long 0x00 13. "status13,status13" "0,1" bitfld.long 0x00 12. "status12,status12" "0,1" newline bitfld.long 0x00 11. "status11,status11" "0,1" bitfld.long 0x00 10. "status10,status10" "0,1" bitfld.long 0x00 9. "status9,status9" "0,1" bitfld.long 0x00 8. "status8,status8" "0,1" newline bitfld.long 0x00 7. "status7,status7" "0,1" bitfld.long 0x00 6. "status6,status6" "0,1" bitfld.long 0x00 5. "status5,status5" "0,1" bitfld.long 0x00 4. "status4,status4" "0,1" newline bitfld.long 0x00 3. "status3,status3" "0,1" bitfld.long 0x00 2. "status2,status2" "0,1" bitfld.long 0x00 1. "status1,status1" "0,1" bitfld.long 0x00 0. "status0,status0" "0,1" repeat.end rgroup.quad 0xF000++0x07 line.quad 0x00 "GICD_CFGID,GICD_CFGID" hexmask.quad.word 0x00 53.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 48.--52. "PEwidth,PEwidth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 44.--47. "Affinity3Bits,Affinity3Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40.--43. "Affinity2Bits,Affinity2Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 36.--39. "Affinity1Bits,Affinity1Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 32.--35. "Affinity0Bits,Affinity0Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 21.--31. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 15.--20. "SPIGroups,SPIGroups" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.quad 0x00 14. "ChipAffinityLevel,ChipAffinityLevel" "0,1" bitfld.quad 0x00 13. "DirectLPI,DirectLPI" "0,1" bitfld.quad 0x00 12. "LPISupport,LPISupport" "0,1" bitfld.quad 0x00 8.--11. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. "SocketNumber,SocketNumber" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 0. "SocketOffline,SocketOffline" "0,1" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICD_PIDR4,GICD_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFFD4)++0x03 line.long 0x00 "GICD_PIDR$1,GICD_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFFE0++0x03 line.long 0x00 "GICD_PIDR0,GICD_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICD_PIDR1,GICD_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICD_PIDR2,GICD_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICD_PIDR3,GICD_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICD_CIDR0,GICD_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICD_CIDR1,GICD_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICD_CIDR2,GICD_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICD_CIDR3,GICD_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree "GICDA" base ad:0x48080000 group.long 0x00++0x03 line.long 0x00 "GICDA_CTLR,GICDA_CTLR" rbitfld.long 0x00 31. "RWP,RWP" "0,1" hexmask.long.tbyte 0x00 8.--30. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 7. "E1NWF,E1NWF" "0,1" rbitfld.long 0x00 6. "DS,DS" "0,1" newline bitfld.long 0x00 5. "ARE_NS,ARE_NS" "0,1" bitfld.long 0x00 4. "ARE_S,ARE_S" "0,1" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 2. "EnableGrp1_s,EnableGrp1_s" "0,1" newline bitfld.long 0x00 1. "EnableGrp1_ns,EnableGrp1_ns" "0,1" bitfld.long 0x00 0. "EnableGrp0,EnableGrp0" "0,1" rgroup.long 0x04++0x03 line.long 0x00 "GICDA_TYPER,GICDA_TYPER" bitfld.long 0x00 26.--31. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 25. "No1N,No1N" "0,1" bitfld.long 0x00 24. "A3V,A3V" "0,1" bitfld.long 0x00 19.--23. "IDbits,IDbits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 18. "DVIS,DVIS" "0,1" bitfld.long 0x00 17. "LPIS,LPIS" "0,1" bitfld.long 0x00 16. "MBIS,MBIS" "0,1" bitfld.long 0x00 11.--15. "LSPI,LSPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "SecurityExtn,SecurityExtn" "0,1" bitfld.long 0x00 8.--9. "RESERVED0,RESERVED0" "0,1,2,3" bitfld.long 0x00 5.--7. "CPUNumber,CPUNumber" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "ITLinesNumber,ITLinesNumber" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x08++0x03 line.long 0x00 "GICDA_IIDR,GICDA_IIDR" hexmask.long.byte 0x00 24.--31. 1. "ProductID,ProductID" bitfld.long 0x00 20.--23. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Variant,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "Revision,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--11. 1. "Implementer,Implementer" group.long 0x20++0x03 line.long 0x00 "GICDA_FCTLR,GICDA_FCTLR" bitfld.long 0x00 27.--31. "RESERVED4,RESERVED4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. "POS,POS" "0,1" bitfld.long 0x00 25. "QDENY,QDENY" "0,1" bitfld.long 0x00 22.--24. "RESERVED3,RESERVED3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "DCC,DCC" "0,1" bitfld.long 0x00 18.--20. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--17. "NSACR,NSACR" "0,1,2,3" bitfld.long 0x00 13.--15. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 4.--12. 1. "CGO,CGO" bitfld.long 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "SIP,SIP" "0,1" group.long 0x24++0x03 line.long 0x00 "GICDA_SAC,GICDA_SAC" hexmask.long 0x00 3.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 2. "GICPNS,GICPNS" "0,1" bitfld.long 0x00 1. "GICTNS,GICTNS" "0,1" bitfld.long 0x00 0. "DSL,DSL" "0,1" wgroup.long 0x40++0x03 line.long 0x00 "GICDA_SETSPI_NSR,GICDA_SETSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x48++0x03 line.long 0x00 "GICDA_CLRSPI_NSR,GICDA_CLRSPI_NSR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x50++0x03 line.long 0x00 "GICDA_SETSPI_SR,GICDA_SETSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" wgroup.long 0x58++0x03 line.long 0x00 "GICDA_CLRSPI_SR,GICDA_CLRSPI_SR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "ID,ID" repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x84)++0x03 line.long 0x00 "GICDA_IGROUPR$1,GICDA_IGROUPR $1" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" newline bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" newline bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" newline bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" newline bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" newline bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" newline bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xC4)++0x03 line.long 0x00 "GICDA_IGROUPR$1,GICDA_IGROUPR $1" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" newline bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" newline bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" newline bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" newline bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" newline bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" newline bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x104)++0x03 line.long 0x00 "GICDA_ISENABLER$1,GICDA_ISENABLER $1" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" newline bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" newline bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" newline bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" newline bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" newline bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" newline bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x144)++0x03 line.long 0x00 "GICDA_ISENABLER$1,GICDA_ISENABLER $1" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" newline bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" newline bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" newline bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" newline bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" newline bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" newline bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x184)++0x03 line.long 0x00 "GICDA_ICENABLER$1,GICDA_ICENABLER $1" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" newline bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" newline bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" newline bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" newline bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" newline bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" newline bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x1C4)++0x03 line.long 0x00 "GICDA_ICENABLER$1,GICDA_ICENABLER $1" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" newline bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" newline bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" newline bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" newline bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" newline bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" newline bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x204)++0x03 line.long 0x00 "GICDA_ISPENDR$1,GICDA_ISPENDR $1" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" newline bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" newline bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" newline bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" newline bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" newline bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" newline bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x244)++0x03 line.long 0x00 "GICDA_ISPENDR$1,GICDA_ISPENDR $1" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" newline bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" newline bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" newline bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" newline bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" newline bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" newline bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x284)++0x03 line.long 0x00 "GICDA_ICPENDR$1,GICDA_ICPENDR $1" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" newline bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" newline bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" newline bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" newline bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" newline bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" newline bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x2C4)++0x03 line.long 0x00 "GICDA_ICPENDR$1,GICDA_ICPENDR $1" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" newline bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" newline bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" newline bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" newline bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" newline bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" newline bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x304)++0x03 line.long 0x00 "GICDA_ISACTIVER$1,GICDA_ISACTIVER $1" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" newline bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" newline bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" newline bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" newline bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" newline bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" newline bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x344)++0x03 line.long 0x00 "GICDA_ISACTIVER$1,GICDA_ISACTIVER $1" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" newline bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" newline bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" newline bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" newline bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" newline bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" newline bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x384)++0x03 line.long 0x00 "GICDA_ICACTIVER$1,GICDA_ICACTIVER $1" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" newline bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" newline bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" newline bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" newline bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" newline bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" newline bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0x3C4)++0x03 line.long 0x00 "GICDA_ICACTIVER$1,GICDA_ICACTIVER $1" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" newline bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" newline bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" newline bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" newline bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" newline bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" newline bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat.end repeat 16. (strings "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" "18" "19" "20" "21" "22" "23" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x420)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" "34" "35" "36" "37" "38" "39" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x460)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" "50" "51" "52" "53" "54" "55" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x4A0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "56" "57" "58" "59" "60" "61" "62" "63" "64" "65" "66" "67" "68" "69" "70" "71" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x4E0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "72" "73" "74" "75" "76" "77" "78" "79" "80" "81" "82" "83" "84" "85" "86" "87" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x520)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "88" "89" "90" "91" "92" "93" "94" "95" "96" "97" "98" "99" "100" "101" "102" "103" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x560)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "104" "105" "106" "107" "108" "109" "110" "111" "112" "113" "114" "115" "116" "117" "118" "119" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x5A0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "120" "121" "122" "123" "124" "125" "126" "127" "128" "129" "130" "131" "132" "133" "134" "135" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x5E0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "136" "137" "138" "139" "140" "141" "142" "143" "144" "145" "146" "147" "148" "149" "150" "151" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x620)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "152" "153" "154" "155" "156" "157" "158" "159" "160" "161" "162" "163" "164" "165" "166" "167" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x660)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "168" "169" "170" "171" "172" "173" "174" "175" "176" "177" "178" "179" "180" "181" "182" "183" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x6A0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "184" "185" "186" "187" "188" "189" "190" "191" "192" "193" "194" "195" "196" "197" "198" "199" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x6E0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "200" "201" "202" "203" "204" "205" "206" "207" "208" "209" "210" "211" "212" "213" "214" "215" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x720)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "216" "217" "218" "219" "220" "221" "222" "223" "224" "225" "226" "227" "228" "229" "230" "231" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x760)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "232" "233" "234" "235" "236" "237" "238" "239" "240" "241" "242" "243" "244" "245" "246" "247" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x7A0)++0x03 line.long 0x00 "GICDA_IPRIORITYR$1,GICDA_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC08)++0x03 line.long 0x00 "GICDA_ICFGR$1,GICDA_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC48)++0x03 line.long 0x00 "GICDA_ICFGR$1,GICDA_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC88)++0x03 line.long 0x00 "GICDA_ICFGR$1,GICDA_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xCC8)++0x03 line.long 0x00 "GICDA_ICFGR$1,GICDA_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xD04)++0x03 line.long 0x00 "GICDA_IGRPMODR$1,GICDA_IGRPMODR $1" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" newline bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" newline bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" newline bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" newline bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" newline bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" newline bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xD44)++0x03 line.long 0x00 "GICDA_IGRPMODR$1,GICDA_IGRPMODR $1" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" newline bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" newline bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" newline bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" newline bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" newline bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" newline bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE08)++0x03 line.long 0x00 "GICDA_NSACR$1,GICDA_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE48)++0x03 line.long 0x00 "GICDA_NSACR$1,GICDA_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE88)++0x03 line.long 0x00 "GICDA_NSACR$1,GICDA_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xEC8)++0x03 line.long 0x00 "GICDA_NSACR$1,GICDA_NSACR $1" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" repeat.end repeat 16. (strings "32" "33" "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6100)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "48" "49" "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" "62" "63" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6180)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "64" "65" "66" "67" "68" "69" "70" "71" "72" "73" "74" "75" "76" "77" "78" "79" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6200)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "80" "81" "82" "83" "84" "85" "86" "87" "88" "89" "90" "91" "92" "93" "94" "95" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6280)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "96" "97" "98" "99" "100" "101" "102" "103" "104" "105" "106" "107" "108" "109" "110" "111" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6300)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "112" "113" "114" "115" "116" "117" "118" "119" "120" "121" "122" "123" "124" "125" "126" "127" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6380)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "128" "129" "130" "131" "132" "133" "134" "135" "136" "137" "138" "139" "140" "141" "142" "143" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6400)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "144" "145" "146" "147" "148" "149" "150" "151" "152" "153" "154" "155" "156" "157" "158" "159" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6480)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "160" "161" "162" "163" "164" "165" "166" "167" "168" "169" "170" "171" "172" "173" "174" "175" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6500)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "176" "177" "178" "179" "180" "181" "182" "183" "184" "185" "186" "187" "188" "189" "190" "191" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6580)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "192" "193" "194" "195" "196" "197" "198" "199" "200" "201" "202" "203" "204" "205" "206" "207" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6600)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "208" "209" "210" "211" "212" "213" "214" "215" "216" "217" "218" "219" "220" "221" "222" "223" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6680)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "224" "225" "226" "227" "228" "229" "230" "231" "232" "233" "234" "235" "236" "237" "238" "239" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6700)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "240" "241" "242" "243" "244" "245" "246" "247" "248" "249" "250" "251" "252" "253" "254" "255" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6780)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "256" "257" "258" "259" "260" "261" "262" "263" "264" "265" "266" "267" "268" "269" "270" "271" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6800)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "272" "273" "274" "275" "276" "277" "278" "279" "280" "281" "282" "283" "284" "285" "286" "287" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6880)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "288" "289" "290" "291" "292" "293" "294" "295" "296" "297" "298" "299" "300" "301" "302" "303" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6900)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "304" "305" "306" "307" "308" "309" "310" "311" "312" "313" "314" "315" "316" "317" "318" "319" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6980)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "320" "321" "322" "323" "324" "325" "326" "327" "328" "329" "330" "331" "332" "333" "334" "335" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6A00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "336" "337" "338" "339" "340" "341" "342" "343" "344" "345" "346" "347" "348" "349" "350" "351" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6A80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "352" "353" "354" "355" "356" "357" "358" "359" "360" "361" "362" "363" "364" "365" "366" "367" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6B00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "368" "369" "370" "371" "372" "373" "374" "375" "376" "377" "378" "379" "380" "381" "382" "383" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6B80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "384" "385" "386" "387" "388" "389" "390" "391" "392" "393" "394" "395" "396" "397" "398" "399" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6C00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "400" "401" "402" "403" "404" "405" "406" "407" "408" "409" "410" "411" "412" "413" "414" "415" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6C80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "416" "417" "418" "419" "420" "421" "422" "423" "424" "425" "426" "427" "428" "429" "430" "431" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6D00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "432" "433" "434" "435" "436" "437" "438" "439" "440" "441" "442" "443" "444" "445" "446" "447" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6D80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "448" "449" "450" "451" "452" "453" "454" "455" "456" "457" "458" "459" "460" "461" "462" "463" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6E00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "464" "465" "466" "467" "468" "469" "470" "471" "472" "473" "474" "475" "476" "477" "478" "479" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6E80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "480" "481" "482" "483" "484" "485" "486" "487" "488" "489" "490" "491" "492" "493" "494" "495" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6F00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "496" "497" "498" "499" "500" "501" "502" "503" "504" "505" "506" "507" "508" "509" "510" "511" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x6F80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "512" "513" "514" "515" "516" "517" "518" "519" "520" "521" "522" "523" "524" "525" "526" "527" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7000)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "528" "529" "530" "531" "532" "533" "534" "535" "536" "537" "538" "539" "540" "541" "542" "543" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7080)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "544" "545" "546" "547" "548" "549" "550" "551" "552" "553" "554" "555" "556" "557" "558" "559" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7100)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "560" "561" "562" "563" "564" "565" "566" "567" "568" "569" "570" "571" "572" "573" "574" "575" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7180)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "576" "577" "578" "579" "580" "581" "582" "583" "584" "585" "586" "587" "588" "589" "590" "591" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7200)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "592" "593" "594" "595" "596" "597" "598" "599" "600" "601" "602" "603" "604" "605" "606" "607" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7280)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "608" "609" "610" "611" "612" "613" "614" "615" "616" "617" "618" "619" "620" "621" "622" "623" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7300)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "624" "625" "626" "627" "628" "629" "630" "631" "632" "633" "634" "635" "636" "637" "638" "639" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7380)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "640" "641" "642" "643" "644" "645" "646" "647" "648" "649" "650" "651" "652" "653" "654" "655" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7400)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "656" "657" "658" "659" "660" "661" "662" "663" "664" "665" "666" "667" "668" "669" "670" "671" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7480)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "672" "673" "674" "675" "676" "677" "678" "679" "680" "681" "682" "683" "684" "685" "686" "687" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7500)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "688" "689" "690" "691" "692" "693" "694" "695" "696" "697" "698" "699" "700" "701" "702" "703" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7580)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "704" "705" "706" "707" "708" "709" "710" "711" "712" "713" "714" "715" "716" "717" "718" "719" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7600)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "720" "721" "722" "723" "724" "725" "726" "727" "728" "729" "730" "731" "732" "733" "734" "735" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7680)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "736" "737" "738" "739" "740" "741" "742" "743" "744" "745" "746" "747" "748" "749" "750" "751" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7700)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "752" "753" "754" "755" "756" "757" "758" "759" "760" "761" "762" "763" "764" "765" "766" "767" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7780)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "768" "769" "770" "771" "772" "773" "774" "775" "776" "777" "778" "779" "780" "781" "782" "783" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7800)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "784" "785" "786" "787" "788" "789" "790" "791" "792" "793" "794" "795" "796" "797" "798" "799" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7880)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "800" "801" "802" "803" "804" "805" "806" "807" "808" "809" "810" "811" "812" "813" "814" "815" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7900)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "816" "817" "818" "819" "820" "821" "822" "823" "824" "825" "826" "827" "828" "829" "830" "831" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7980)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "832" "833" "834" "835" "836" "837" "838" "839" "840" "841" "842" "843" "844" "845" "846" "847" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7A00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "848" "849" "850" "851" "852" "853" "854" "855" "856" "857" "858" "859" "860" "861" "862" "863" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7A80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "864" "865" "866" "867" "868" "869" "870" "871" "872" "873" "874" "875" "876" "877" "878" "879" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7B00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "880" "881" "882" "883" "884" "885" "886" "887" "888" "889" "890" "891" "892" "893" "894" "895" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7B80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "896" "897" "898" "899" "900" "901" "902" "903" "904" "905" "906" "907" "908" "909" "910" "911" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7C00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "912" "913" "914" "915" "916" "917" "918" "919" "920" "921" "922" "923" "924" "925" "926" "927" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7C80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "928" "929" "930" "931" "932" "933" "934" "935" "936" "937" "938" "939" "940" "941" "942" "943" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7D00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "944" "945" "946" "947" "948" "949" "950" "951" "952" "953" "954" "955" "956" "957" "958" "959" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7D80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "960" "961" "962" "963" "964" "965" "966" "967" "968" "969" "970" "971" "972" "973" "974" "975" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7E00)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "976" "977" "978" "979" "980" "981" "982" "983" "984" "985" "986" "987" "988" "989" "990" "991" )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.quad ($2+0x7E80)++0x07 line.quad 0x00 "GICDA_IROUTER$1,GICDA_IROUTER $1" hexmask.quad.tbyte 0x00 40.--63. 1. "RESERVED1,RESERVED1" hexmask.quad.byte 0x00 32.--39. 1. "Affinity3,Affinity3" bitfld.quad 0x00 31. "InterruptRoutingMode,InterruptRoutingMode" "0,1" hexmask.quad.byte 0x00 24.--30. 1. "RESERVED0,RESERVED0" newline hexmask.quad.byte 0x00 16.--23. 1. "Affinity2,Affinity2" hexmask.quad.byte 0x00 8.--15. 1. "Affinity1,Affinity1" hexmask.quad.byte 0x00 0.--7. 1. "Affinity0,Affinity0" repeat.end repeat 16. (strings "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" "17" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE008)++0x03 line.long 0x00 "GICDA_ICLAR$1,GICDA_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" "32" "33" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE048)++0x03 line.long 0x00 "GICDA_ICLAR$1,GICDA_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" "48" "49" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE088)++0x03 line.long 0x00 "GICDA_ICLAR$1,GICDA_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 12. (strings "50" "51" "52" "53" "54" "55" "56" "57" "58" "59" "60" "61" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0xE0C8)++0x03 line.long 0x00 "GICDA_ICLAR$1,GICDA_ICLAR $1" bitfld.long 0x00 30.--31. "classes15,classes15" "0,1,2,3" bitfld.long 0x00 28.--29. "classes14,classes14" "0,1,2,3" bitfld.long 0x00 26.--27. "classes13,classes13" "0,1,2,3" bitfld.long 0x00 24.--25. "classes12,classes12" "0,1,2,3" newline bitfld.long 0x00 22.--23. "classes11,classes11" "0,1,2,3" bitfld.long 0x00 20.--21. "classes10,classes10" "0,1,2,3" bitfld.long 0x00 18.--19. "classes9,classes9" "0,1,2,3" bitfld.long 0x00 16.--17. "classes8,classes8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "classes7,classes7" "0,1,2,3" bitfld.long 0x00 12.--13. "classes6,classes6" "0,1,2,3" bitfld.long 0x00 10.--11. "classes5,classes5" "0,1,2,3" bitfld.long 0x00 8.--9. "classes4,classes4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "classes3,classes3" "0,1,2,3" bitfld.long 0x00 4.--5. "classes2,classes2" "0,1,2,3" bitfld.long 0x00 2.--3. "classes1,classes1" "0,1,2,3" bitfld.long 0x00 0.--1. "classes0,classes0" "0,1,2,3" repeat.end repeat 16. (strings "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" "16" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xE104)++0x03 line.long 0x00 "GICDA_IERRR$1,GICDA_IERRR $1" bitfld.long 0x00 31. "status31,status31" "0,1" bitfld.long 0x00 30. "status30,status30" "0,1" bitfld.long 0x00 29. "status29,status29" "0,1" bitfld.long 0x00 28. "status28,status28" "0,1" newline bitfld.long 0x00 27. "status27,status27" "0,1" bitfld.long 0x00 26. "status26,status26" "0,1" bitfld.long 0x00 25. "status25,status25" "0,1" bitfld.long 0x00 24. "status24,status24" "0,1" newline bitfld.long 0x00 23. "status23,status23" "0,1" bitfld.long 0x00 22. "status22,status22" "0,1" bitfld.long 0x00 21. "status21,status21" "0,1" bitfld.long 0x00 20. "status20,status20" "0,1" newline bitfld.long 0x00 19. "status19,status19" "0,1" bitfld.long 0x00 18. "status18,status18" "0,1" bitfld.long 0x00 17. "status17,status17" "0,1" bitfld.long 0x00 16. "status16,status16" "0,1" newline bitfld.long 0x00 15. "status15,status15" "0,1" bitfld.long 0x00 14. "status14,status14" "0,1" bitfld.long 0x00 13. "status13,status13" "0,1" bitfld.long 0x00 12. "status12,status12" "0,1" newline bitfld.long 0x00 11. "status11,status11" "0,1" bitfld.long 0x00 10. "status10,status10" "0,1" bitfld.long 0x00 9. "status9,status9" "0,1" bitfld.long 0x00 8. "status8,status8" "0,1" newline bitfld.long 0x00 7. "status7,status7" "0,1" bitfld.long 0x00 6. "status6,status6" "0,1" bitfld.long 0x00 5. "status5,status5" "0,1" bitfld.long 0x00 4. "status4,status4" "0,1" newline bitfld.long 0x00 3. "status3,status3" "0,1" bitfld.long 0x00 2. "status2,status2" "0,1" bitfld.long 0x00 1. "status1,status1" "0,1" bitfld.long 0x00 0. "status0,status0" "0,1" repeat.end repeat 14. (strings "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 ) group.long ($2+0xE144)++0x03 line.long 0x00 "GICDA_IERRR$1,GICDA_IERRR $1" bitfld.long 0x00 31. "status31,status31" "0,1" bitfld.long 0x00 30. "status30,status30" "0,1" bitfld.long 0x00 29. "status29,status29" "0,1" bitfld.long 0x00 28. "status28,status28" "0,1" newline bitfld.long 0x00 27. "status27,status27" "0,1" bitfld.long 0x00 26. "status26,status26" "0,1" bitfld.long 0x00 25. "status25,status25" "0,1" bitfld.long 0x00 24. "status24,status24" "0,1" newline bitfld.long 0x00 23. "status23,status23" "0,1" bitfld.long 0x00 22. "status22,status22" "0,1" bitfld.long 0x00 21. "status21,status21" "0,1" bitfld.long 0x00 20. "status20,status20" "0,1" newline bitfld.long 0x00 19. "status19,status19" "0,1" bitfld.long 0x00 18. "status18,status18" "0,1" bitfld.long 0x00 17. "status17,status17" "0,1" bitfld.long 0x00 16. "status16,status16" "0,1" newline bitfld.long 0x00 15. "status15,status15" "0,1" bitfld.long 0x00 14. "status14,status14" "0,1" bitfld.long 0x00 13. "status13,status13" "0,1" bitfld.long 0x00 12. "status12,status12" "0,1" newline bitfld.long 0x00 11. "status11,status11" "0,1" bitfld.long 0x00 10. "status10,status10" "0,1" bitfld.long 0x00 9. "status9,status9" "0,1" bitfld.long 0x00 8. "status8,status8" "0,1" newline bitfld.long 0x00 7. "status7,status7" "0,1" bitfld.long 0x00 6. "status6,status6" "0,1" bitfld.long 0x00 5. "status5,status5" "0,1" bitfld.long 0x00 4. "status4,status4" "0,1" newline bitfld.long 0x00 3. "status3,status3" "0,1" bitfld.long 0x00 2. "status2,status2" "0,1" bitfld.long 0x00 1. "status1,status1" "0,1" bitfld.long 0x00 0. "status0,status0" "0,1" repeat.end rgroup.quad 0xF000++0x07 line.quad 0x00 "GICDA_CFGID,GICDA_CFGID" hexmask.quad.word 0x00 53.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 48.--52. "PEwidth,PEwidth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 44.--47. "Affinity3Bits,Affinity3Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 40.--43. "Affinity2Bits,Affinity2Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 36.--39. "Affinity1Bits,Affinity1Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 32.--35. "Affinity0Bits,Affinity0Bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 21.--31. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 15.--20. "SPIGroups,SPIGroups" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.quad 0x00 14. "ChipAffinityLevel,ChipAffinityLevel" "0,1" bitfld.quad 0x00 13. "DirectLPI,DirectLPI" "0,1" bitfld.quad 0x00 12. "LPISupport,LPISupport" "0,1" bitfld.quad 0x00 8.--11. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. "SocketNumber,SocketNumber" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 0. "SocketOffline,SocketOffline" "0,1" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICDA_PIDR4,GICDA_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFFD4)++0x03 line.long 0x00 "GICDA_PIDR$1,GICDA_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFFE0++0x03 line.long 0x00 "GICDA_PIDR0,GICDA_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICDA_PIDR1,GICDA_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICDA_PIDR2,GICDA_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICDA_PIDR3,GICDA_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICDA_CIDR0,GICDA_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICDA_CIDR1,GICDA_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICDA_CIDR2,GICDA_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICDA_CIDR3,GICDA_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree "GICP" base ad:0x48030000 repeat 5. (strings "0" "1" "2" "3" "4" )(list 0x0 0x4 0x8 0xC 0x10 ) group.long ($2+0x00)++0x03 line.long 0x00 "GICP_EVCNTR$1,GICP_EVCNTR $1" hexmask.long 0x00 0.--31. 1. "COUNT,COUNT" repeat.end repeat 5. (strings "0" "1" "2" "3" "4" )(list 0x00 0x04 0x08 0x0C 0x10 ) group.long ($2+0x400)++0x03 line.long 0x00 "GICP_EVTYPER$1,GICP_EVTYPER $1" bitfld.long 0x00 31. "OVCAP,OVCAP" "0,1" hexmask.long.word 0x00 18.--30. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 16.--17. "EVENT_TYPE,EVENT_TYPE" "0,1,2,3" hexmask.long.byte 0x00 8.--15. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "EVENT,EVENT" repeat.end repeat 5. (strings "0" "1" "2" "3" "4" )(list 0x00 0x04 0x08 0x0C 0x10 ) rgroup.long ($2+0x600)++0x03 line.long 0x00 "GICP_SVR$1,GICP_SVR $1" hexmask.long 0x00 0.--31. 1. "COUNT,COUNT" repeat.end repeat 5. (strings "0" "1" "2" "3" "4" )(list 0x00 0x04 0x08 0x0C 0x10 ) group.long ($2+0xA00)++0x03 line.long 0x00 "GICP_FR$1,GICP_FR $1" bitfld.long 0x00 30.--31. "FilterType,FilterType" "0,1,2,3" bitfld.long 0x00 29. "FilterEncoding,FilterEncoding" "0,1" hexmask.long.word 0x00 16.--28. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--15. 1. "Filter,Filter" repeat.end group.quad 0xC00++0x07 line.quad 0x00 "GICP_CNTENSET0,GICP_CNTENSET0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 4. "CNTEN4,CNTEN4" "0,1" bitfld.quad 0x00 3. "CNTEN3,CNTEN3" "0,1" bitfld.quad 0x00 2. "CNTEN2,CNTEN2" "0,1" bitfld.quad 0x00 1. "CNTEN1,CNTEN1" "0,1" bitfld.quad 0x00 0. "CNTEN0,CNTEN0" "0,1" group.quad 0xC20++0x07 line.quad 0x00 "GICP_CNTENCLR0,GICP_CNTENCLR0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 4. "CNTEN4,CNTEN4" "0,1" bitfld.quad 0x00 3. "CNTEN3,CNTEN3" "0,1" bitfld.quad 0x00 2. "CNTEN2,CNTEN2" "0,1" bitfld.quad 0x00 1. "CNTEN1,CNTEN1" "0,1" bitfld.quad 0x00 0. "CNTEN0,CNTEN0" "0,1" group.quad 0xC40++0x07 line.quad 0x00 "GICP_INTENSET0,GICP_INTENSET0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 0.--4. "INTEN,INTEN" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad 0xC60++0x07 line.quad 0x00 "GICP_INTENCLR0,GICP_INTENCLR0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 0.--4. "INTEN,INTEN" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad 0xC80++0x07 line.quad 0x00 "GICP_OVSCLR0,GICP_OVSCLR0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 0.--4. "OVS,OVS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad 0xCC0++0x07 line.quad 0x00 "GICP_OVSSET0,GICP_OVSSET0" hexmask.quad 0x00 5.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 0.--4. "OVS,OVS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long 0xD88++0x03 line.long 0x00 "GICP_CAPR,GICP_CAPR" hexmask.long 0x00 1.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 0. "CAPTURE,CAPTURE" "0,1" rgroup.long 0xE00++0x03 line.long 0x00 "GICP_CFGR,GICP_CFGR" hexmask.long.word 0x00 23.--31. 1. "RESERVED2,RESERVED2" bitfld.long 0x00 22. "CAPTURE,CAPTURE" "0,1" hexmask.long.byte 0x00 14.--21. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 8.--13. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 6.--7. "RESERVED0,RESERVED0" "0,1,2,3" bitfld.long 0x00 0.--5. "NCTR,NCTR" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xE04++0x03 line.long 0x00 "GICP_CR,GICP_CR" hexmask.long 0x00 1.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 0. "E,E" "0,1" group.long 0xE50++0x03 line.long 0x00 "GICP_IRQCR,GICP_IRQCR" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--9. 1. "SPIID,SPIID" rgroup.long 0xFB8++0x03 line.long 0x00 "GICP_PMAUTHSTATUS,GICP_PMAUTHSTATUS" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 7. "SNI,SNI" "0,1" bitfld.long 0x00 6. "SNE,SNE" "0,1" bitfld.long 0x00 5. "SI,SI" "0,1" bitfld.long 0x00 4. "SE,SE" "0,1" bitfld.long 0x00 3. "NSNI,NSNI" "0,1" newline bitfld.long 0x00 2. "NSNE,NSNE" "0,1" bitfld.long 0x00 1. "NSI,NSI" "0,1" bitfld.long 0x00 0. "NSE,NSE" "0,1" rgroup.long 0xFBC++0x03 line.long 0x00 "GICP_PMDEVARCH,GICP_PMDEVARCH" hexmask.long.word 0x00 21.--31. 1. "ARCHITECT,ARCHITECT" bitfld.long 0x00 20. "PRESENT,PRESENT" "0,1" bitfld.long 0x00 16.--19. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--15. 1. "ARCHID,ARCHID" rgroup.long 0xFCC++0x03 line.long 0x00 "GICP_PMDEVTYPE,GICP_PMDEVTYPE" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SubType,SubType" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "Class,Class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFD0++0x03 line.long 0x00 "GICP_PIDR4,GICP_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFD4)++0x03 line.long 0x00 "GICP_PIDR$1,GICP_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFE0++0x03 line.long 0x00 "GICP_PIDR0,GICP_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFE4++0x03 line.long 0x00 "GICP_PIDR1,GICP_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFE8++0x03 line.long 0x00 "GICP_PIDR2,GICP_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFEC++0x03 line.long 0x00 "GICP_PIDR3,GICP_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED1,RESERVED1" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFF0++0x03 line.long 0x00 "GICP_CIDR0,GICP_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFF4++0x03 line.long 0x00 "GICP_CIDR1,GICP_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFF8++0x03 line.long 0x00 "GICP_CIDR2,GICP_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFC++0x03 line.long 0x00 "GICP_CIDR3,GICP_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree "GICRLPI" tree "GICRLPI0" base ad:0x48040000 group.long 0x00++0x03 line.long 0x00 "GICR0_CTLR,GICR0_CTLR" rbitfld.long 0x00 31. "UWP,UWP" "0,1" bitfld.long 0x00 27.--30. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 26. "DPG1S,DPG1S" "0,1" bitfld.long 0x00 25. "DPG1NS,DPG1NS" "0,1" bitfld.long 0x00 24. "DPG0,DPG0" "0,1" hexmask.long.tbyte 0x00 4.--23. 1. "RESERVED1,RESERVED1" newline rbitfld.long 0x00 3. "RWP,RWP" "0,1" bitfld.long 0x00 1.--2. "RESERVED0,RESERVED0" "0,1,2,3" bitfld.long 0x00 0. "EnableLPIs,EnableLPIs" "0,1" rgroup.long 0x04++0x03 line.long 0x00 "GICR0_IIDR,GICR0_IIDR" hexmask.long.byte 0x00 24.--31. 1. "ProductID,ProductID" bitfld.long 0x00 20.--23. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Variant,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "Revision,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Implementer,Implementer" rgroup.quad 0x08++0x07 line.quad 0x00 "GICR0_TYPER,GICR0_TYPER" hexmask.quad.long 0x00 32.--63. 1. "AffinityValue,AffinityValue" bitfld.quad 0x00 26.--31. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 24.--25. "CommonLPIAff,CommonLPIAff" "0,1,2,3" hexmask.quad.word 0x00 8.--23. 1. "ProcessorNumber,ProcessorNumber" bitfld.quad 0x00 6.--7. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 5. "DPGS,DPGS" "0,1" newline bitfld.quad 0x00 4. "Last,Last" "0,1" bitfld.quad 0x00 3. "DirectLPI,DirectLPI" "0,1" bitfld.quad 0x00 2. "RESERVED0,RESERVED0" "0,1" bitfld.quad 0x00 1. "VLPIS,VLPIS" "0,1" bitfld.quad 0x00 0. "PLPIS,PLPIS" "0,1" group.long 0x14++0x03 line.long 0x00 "GICR0_WAKER,GICR0_WAKER" rbitfld.long 0x00 31. "Quiescent,Quiescent" "0,1" hexmask.long 0x00 3.--30. 1. "RESERVED0,RESERVED0" rbitfld.long 0x00 2. "ChildrenAsleep,ChildrenAsleep" "0,1" bitfld.long 0x00 1. "ProcessorSleep,ProcessorSleep" "0,1" bitfld.long 0x00 0. "Sleep,Sleep" "0,1" group.long 0x20++0x03 line.long 0x00 "GICR0_FCTLR,GICR0_FCTLR" bitfld.long 0x00 31. "QD,QD" "0,1" hexmask.long.tbyte 0x00 7.--30. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--6. "CGO,CGO" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "SIP,SIP" "0,1" group.long 0x24++0x03 line.long 0x00 "GICR0_PWRR,GICR0_PWRR" hexmask.long.byte 0x00 24.--31. 1. "RESERVED1,RESERVED1" hexmask.long.word 0x00 15.--23. 1. "RDG,RDG" hexmask.long.byte 0x00 8.--14. 1. "RDGO,RDGO" rbitfld.long 0x00 4.--7. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 3. "RDGPO,RDGPO" "0,1" bitfld.long 0x00 2. "RDGPD,RDGPD" "0,1" newline bitfld.long 0x00 1. "RDAG,RDAG" "0,1" bitfld.long 0x00 0. "RDPD,RDPD" "0,1" group.long 0x28++0x03 line.long 0x00 "GICR0_CLASS,GICR0_CLASS" hexmask.long 0x00 1.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 0. "Class,Class" "0,1" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICR0_PIDR4,GICR0_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFFD4)++0x03 line.long 0x00 "GICR0_PIDR$1,GICR0_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFFE0++0x03 line.long 0x00 "GICR0_PIDR0,GICR0_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICR0_PIDR1,GICR0_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICR0_PIDR2,GICR0_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICR0_PIDR3,GICR0_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICR0_CIDR0,GICR0_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICR0_CIDR1,GICR0_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICR0_CIDR2,GICR0_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICR0_CIDR3,GICR0_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree "GICRLPI1" base ad:0x48060000 group.long 0x00++0x03 line.long 0x00 "GICR1_CTLR,GICR1_CTLR" rbitfld.long 0x00 31. "UWP,UWP" "0,1" bitfld.long 0x00 27.--30. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 26. "DPG1S,DPG1S" "0,1" bitfld.long 0x00 25. "DPG1NS,DPG1NS" "0,1" bitfld.long 0x00 24. "DPG0,DPG0" "0,1" hexmask.long.tbyte 0x00 4.--23. 1. "RESERVED1,RESERVED1" newline rbitfld.long 0x00 3. "RWP,RWP" "0,1" bitfld.long 0x00 1.--2. "RESERVED0,RESERVED0" "0,1,2,3" bitfld.long 0x00 0. "EnableLPIs,EnableLPIs" "0,1" rgroup.long 0x04++0x03 line.long 0x00 "GICR1_IIDR,GICR1_IIDR" hexmask.long.byte 0x00 24.--31. 1. "ProductID,ProductID" bitfld.long 0x00 20.--23. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Variant,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "Revision,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Implementer,Implementer" rgroup.quad 0x08++0x07 line.quad 0x00 "GICR1_TYPER,GICR1_TYPER" hexmask.quad.long 0x00 32.--63. 1. "AffinityValue,AffinityValue" bitfld.quad 0x00 26.--31. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 24.--25. "CommonLPIAff,CommonLPIAff" "0,1,2,3" hexmask.quad.word 0x00 8.--23. 1. "ProcessorNumber,ProcessorNumber" bitfld.quad 0x00 6.--7. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 5. "DPGS,DPGS" "0,1" newline bitfld.quad 0x00 4. "Last,Last" "0,1" bitfld.quad 0x00 3. "DirectLPI,DirectLPI" "0,1" bitfld.quad 0x00 2. "RESERVED0,RESERVED0" "0,1" bitfld.quad 0x00 1. "VLPIS,VLPIS" "0,1" bitfld.quad 0x00 0. "PLPIS,PLPIS" "0,1" group.long 0x14++0x03 line.long 0x00 "GICR1_WAKER,GICR1_WAKER" rbitfld.long 0x00 31. "Quiescent,Quiescent" "0,1" hexmask.long 0x00 3.--30. 1. "RESERVED0,RESERVED0" rbitfld.long 0x00 2. "ChildrenAsleep,ChildrenAsleep" "0,1" bitfld.long 0x00 1. "ProcessorSleep,ProcessorSleep" "0,1" bitfld.long 0x00 0. "Sleep,Sleep" "0,1" group.long 0x20++0x03 line.long 0x00 "GICR1_FCTLR,GICR1_FCTLR" bitfld.long 0x00 31. "QD,QD" "0,1" hexmask.long.tbyte 0x00 7.--30. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--6. "CGO,CGO" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1.--3. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "SIP,SIP" "0,1" group.long 0x24++0x03 line.long 0x00 "GICR1_PWRR,GICR1_PWRR" hexmask.long.byte 0x00 24.--31. 1. "RESERVED1,RESERVED1" hexmask.long.word 0x00 15.--23. 1. "RDG,RDG" hexmask.long.byte 0x00 8.--14. 1. "RDGO,RDGO" rbitfld.long 0x00 4.--7. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 3. "RDGPO,RDGPO" "0,1" bitfld.long 0x00 2. "RDGPD,RDGPD" "0,1" newline bitfld.long 0x00 1. "RDAG,RDAG" "0,1" bitfld.long 0x00 0. "RDPD,RDPD" "0,1" group.long 0x28++0x03 line.long 0x00 "GICR1_CLASS,GICR1_CLASS" hexmask.long 0x00 1.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 0. "Class,Class" "0,1" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICR1_PIDR4,GICR1_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFFD4)++0x03 line.long 0x00 "GICR1_PIDR$1,GICR1_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFFE0++0x03 line.long 0x00 "GICR1_PIDR0,GICR1_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICR1_PIDR1,GICR1_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICR1_PIDR2,GICR1_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICR1_PIDR3,GICR1_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICR1_CIDR0,GICR1_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICR1_CIDR1,GICR1_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICR1_CIDR2,GICR1_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICR1_CIDR3,GICR1_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree.end tree "GICRSGI" tree "GICRSGI0" base ad:0x48050000 group.long 0x80++0x03 line.long 0x00 "GICR0_IGROUPR0,GICR0_IGROUPR0" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" newline bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" newline bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" newline bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" newline bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" newline bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" group.long 0x100++0x03 line.long 0x00 "GICR0_ISENABLER0,GICR0_ISENABLER0" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" newline bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" newline bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" newline bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" newline bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" newline bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" group.long 0x180++0x03 line.long 0x00 "GICR0_ICENABLER0,GICR0_ICENABLER0" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" newline bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" newline bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" newline bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" newline bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" newline bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" group.long 0x200++0x03 line.long 0x00 "GICR0_ISPENDR0,GICR0_ISPENDR0" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" newline bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" newline bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" newline bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" newline bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" newline bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" group.long 0x280++0x03 line.long 0x00 "GICR0_ICPENDR0,GICR0_ICPENDR0" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" newline bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" newline bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" newline bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" newline bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" newline bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" group.long 0x300++0x03 line.long 0x00 "GICR0_ISACTIVER0,GICR0_ISACTIVER0" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" newline bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" newline bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" newline bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" newline bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" newline bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" group.long 0x380++0x03 line.long 0x00 "GICR0_ICACTIVER0,GICR0_ICACTIVER0" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" newline bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" newline bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" newline bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" newline bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" newline bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat 8. (strings "0" "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x400)++0x03 line.long 0x00 "GICR0_IPRIORITYR$1,GICR0_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 2. (strings "0" "1" )(list 0x00 0x04 ) rgroup.long ($2+0xC00)++0x03 line.long 0x00 "GICR0_ICFGR$1,GICR0_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" newline bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end group.long 0xD00++0x03 line.long 0x00 "GICR0_IGRPMODR0,GICR0_IGRPMODR0" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" newline bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" newline bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" newline bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" newline bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" newline bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" group.long 0xE00++0x03 line.long 0x00 "GICR0_NSACR,GICR0_NSACR" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" newline bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" rgroup.long 0xC000++0x03 line.long 0x00 "GICR0_MISCSTATUSR,GICR0_MISCSTATUSR" bitfld.long 0x00 31. "cpu_active,cpu_active" "0,1" bitfld.long 0x00 30. "wake_request,wake_request" "0,1" hexmask.long 0x00 5.--29. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4. "access_type,access_type" "0,1" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" newline bitfld.long 0x00 2. "EnableGrp1_s,EnableGrp1_s" "0,1" bitfld.long 0x00 1. "EnableGrp1_ns,EnableGrp1_ns" "0,1" bitfld.long 0x00 0. "EnableGrp0,EnableGrp0" "0,1" rgroup.long 0xC008++0x03 line.long 0x00 "GICR0_IERRVR,GICR0_IERRVR" bitfld.long 0x00 31. "valid_bit31,valid_bit31" "0,1" bitfld.long 0x00 30. "valid_bit30,valid_bit30" "0,1" bitfld.long 0x00 29. "valid_bit29,valid_bit29" "0,1" bitfld.long 0x00 28. "valid_bit28,valid_bit28" "0,1" bitfld.long 0x00 27. "valid_bit27,valid_bit27" "0,1" newline bitfld.long 0x00 26. "valid_bit26,valid_bit26" "0,1" bitfld.long 0x00 25. "valid_bit25,valid_bit25" "0,1" bitfld.long 0x00 24. "valid_bit24,valid_bit24" "0,1" bitfld.long 0x00 23. "valid_bit23,valid_bit23" "0,1" bitfld.long 0x00 22. "valid_bit22,valid_bit22" "0,1" newline bitfld.long 0x00 21. "valid_bit21,valid_bit21" "0,1" bitfld.long 0x00 20. "valid_bit20,valid_bit20" "0,1" bitfld.long 0x00 19. "valid_bit19,valid_bit19" "0,1" bitfld.long 0x00 18. "valid_bit18,valid_bit18" "0,1" bitfld.long 0x00 17. "valid_bit17,valid_bit17" "0,1" newline bitfld.long 0x00 16. "valid_bit16,valid_bit16" "0,1" bitfld.long 0x00 15. "valid_bit15,valid_bit15" "0,1" bitfld.long 0x00 14. "valid_bit14,valid_bit14" "0,1" bitfld.long 0x00 13. "valid_bit13,valid_bit13" "0,1" bitfld.long 0x00 12. "valid_bit12,valid_bit12" "0,1" newline bitfld.long 0x00 11. "valid_bit11,valid_bit11" "0,1" bitfld.long 0x00 10. "valid_bit10,valid_bit10" "0,1" bitfld.long 0x00 9. "valid_bit9,valid_bit9" "0,1" bitfld.long 0x00 8. "valid_bit8,valid_bit8" "0,1" bitfld.long 0x00 7. "valid_bit7,valid_bit7" "0,1" newline bitfld.long 0x00 6. "valid_bit6,valid_bit6" "0,1" bitfld.long 0x00 5. "valid_bit5,valid_bit5" "0,1" bitfld.long 0x00 4. "valid_bit4,valid_bit4" "0,1" bitfld.long 0x00 3. "valid_bit3,valid_bit3" "0,1" bitfld.long 0x00 2. "valid_bit2,valid_bit2" "0,1" newline bitfld.long 0x00 1. "valid_bit1,valid_bit1" "0,1" bitfld.long 0x00 0. "valid_bit0,valid_bit0" "0,1" group.quad 0xC010++0x07 line.quad 0x00 "GICR0_SGIDR,GICR0_SGIDR" bitfld.quad 0x00 63. "RESERVED16,RESERVED16" "0,1" bitfld.quad 0x00 62. "grpmod15,grpmod15" "0,1" bitfld.quad 0x00 61. "grp15,grp15" "0,1" bitfld.quad 0x00 60. "nsacr15,nsacr15" "0,1" bitfld.quad 0x00 59. "RESERVED15,RESERVED15" "0,1" newline bitfld.quad 0x00 58. "grpmod14,grpmod14" "0,1" bitfld.quad 0x00 57. "grp14,grp14" "0,1" bitfld.quad 0x00 56. "nsacr14,nsacr14" "0,1" bitfld.quad 0x00 55. "RESERVED13,RESERVED13" "0,1" bitfld.quad 0x00 54. "grpmod13,grpmod13" "0,1" newline bitfld.quad 0x00 53. "grp13,grp13" "0,1" bitfld.quad 0x00 52. "nsacr13,nsacr13" "0,1" bitfld.quad 0x00 51. "RESERVED12,RESERVED12" "0,1" bitfld.quad 0x00 50. "grpmod12,grpmod12" "0,1" bitfld.quad 0x00 49. "grp12,grp12" "0,1" newline bitfld.quad 0x00 48. "nsacr12,nsacr12" "0,1" bitfld.quad 0x00 47. "RESERVED11,RESERVED11" "0,1" bitfld.quad 0x00 46. "grpmod11,grpmod11" "0,1" bitfld.quad 0x00 45. "grp11,grp11" "0,1" bitfld.quad 0x00 44. "nsacr11,nsacr11" "0,1" newline bitfld.quad 0x00 43. "RESERVED10,RESERVED10" "0,1" bitfld.quad 0x00 42. "grpmod10,grpmod10" "0,1" bitfld.quad 0x00 41. "grp10,grp10" "0,1" bitfld.quad 0x00 40. "nsacr10,nsacr10" "0,1" bitfld.quad 0x00 39. "RESERVED9,RESERVED9" "0,1" newline bitfld.quad 0x00 38. "grpmod9,grpmod9" "0,1" bitfld.quad 0x00 37. "grp9,grp9" "0,1" bitfld.quad 0x00 36. "nsacr9,nsacr9" "0,1" bitfld.quad 0x00 35. "RESERVED8,RESERVED8" "0,1" bitfld.quad 0x00 34. "grpmod8,grpmod8" "0,1" newline bitfld.quad 0x00 33. "grp8,grp8" "0,1" bitfld.quad 0x00 32. "nsacr8,nsacr8" "0,1" bitfld.quad 0x00 31. "RESERVED7,RESERVED7" "0,1" bitfld.quad 0x00 30. "grpmod7,grpmod7" "0,1" bitfld.quad 0x00 29. "grp7,grp7" "0,1" newline bitfld.quad 0x00 28. "nsacr7,nsacr7" "0,1" bitfld.quad 0x00 27. "RESERVED6,RESERVED6" "0,1" bitfld.quad 0x00 26. "grpmod6,grpmod6" "0,1" bitfld.quad 0x00 25. "grp6,grp6" "0,1" bitfld.quad 0x00 24. "nsacr6,nsacr6" "0,1" newline bitfld.quad 0x00 23. "RESERVED5,RESERVED5" "0,1" bitfld.quad 0x00 22. "grpmod5,grpmod5" "0,1" bitfld.quad 0x00 21. "grp5,grp5" "0,1" bitfld.quad 0x00 20. "nsacr5,nsacr5" "0,1" bitfld.quad 0x00 19. "RESERVED4,RESERVED4" "0,1" newline bitfld.quad 0x00 18. "grpmod4,grpmod4" "0,1" bitfld.quad 0x00 17. "grp4,grp4" "0,1" bitfld.quad 0x00 16. "nsacr4,nsacr4" "0,1" bitfld.quad 0x00 15. "RESERVED3,RESERVED3" "0,1" bitfld.quad 0x00 14. "grpmod3,grpmod3" "0,1" newline bitfld.quad 0x00 13. "grp3,grp3" "0,1" bitfld.quad 0x00 12. "nsacr3,nsacr3" "0,1" bitfld.quad 0x00 11. "RESERVED2,RESERVED2" "0,1" bitfld.quad 0x00 10. "grpmod2,grpmod2" "0,1" bitfld.quad 0x00 9. "grp2,grp2" "0,1" newline bitfld.quad 0x00 8. "nsacr2,nsacr2" "0,1" bitfld.quad 0x00 7. "RESERVED1,RESERVED1" "0,1" bitfld.quad 0x00 6. "grpmod1,grpmod1" "0,1" bitfld.quad 0x00 5. "grp1,grp1" "0,1" bitfld.quad 0x00 4. "nsacr1,nsacr1" "0,1" newline bitfld.quad 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.quad 0x00 2. "grpmod0,grpmod0" "0,1" bitfld.quad 0x00 1. "grp0,grp0" "0,1" bitfld.quad 0x00 0. "nsacr0,nsacr0" "0,1" rgroup.long 0xF000++0x03 line.long 0x00 "GICR0_CFGID0,GICR0_CFGID0" bitfld.long 0x00 28.--31. "Af3width,Af3width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "Af2width,Af2width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "Af1width,Af1width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Af0width,Af0width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "TargetlistWidth,TargetlistWidth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "ECCSupport,ECCSupport" "0,1" bitfld.long 0x00 9.--10. "RESERVED0,RESERVED0" "0,1,2,3" hexmask.long.word 0x00 0.--8. 1. "PPINumber,PPINumber" rgroup.long 0xF004++0x03 line.long 0x00 "GICR0_CFGID1,GICR0_CFGID1" bitfld.long 0x00 28.--31. "Version,Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "PPIsPerProcessor,PPIsPerProcessor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 13.--15. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12. "DirectUpstream,DirectUpstream" "0,1" hexmask.long.byte 0x00 4.--11. 1. "NumCPUs,NumCPUs" bitfld.long 0x00 0.--3. "NumARE0CPUs,NumARE0CPUs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "GICRSGI1" base ad:0x48070000 group.long 0x80++0x03 line.long 0x00 "GICR1_IGROUPR0,GICR1_IGROUPR0" bitfld.long 0x00 31. "group_status_bit31,group_status_bit31" "0,1" bitfld.long 0x00 30. "group_status_bit30,group_status_bit30" "0,1" bitfld.long 0x00 29. "group_status_bit29,group_status_bit29" "0,1" bitfld.long 0x00 28. "group_status_bit28,group_status_bit28" "0,1" bitfld.long 0x00 27. "group_status_bit27,group_status_bit27" "0,1" newline bitfld.long 0x00 26. "group_status_bit26,group_status_bit26" "0,1" bitfld.long 0x00 25. "group_status_bit25,group_status_bit25" "0,1" bitfld.long 0x00 24. "group_status_bit24,group_status_bit24" "0,1" bitfld.long 0x00 23. "group_status_bit23,group_status_bit23" "0,1" bitfld.long 0x00 22. "group_status_bit22,group_status_bit22" "0,1" newline bitfld.long 0x00 21. "group_status_bit21,group_status_bit21" "0,1" bitfld.long 0x00 20. "group_status_bit20,group_status_bit20" "0,1" bitfld.long 0x00 19. "group_status_bit19,group_status_bit19" "0,1" bitfld.long 0x00 18. "group_status_bit18,group_status_bit18" "0,1" bitfld.long 0x00 17. "group_status_bit17,group_status_bit17" "0,1" newline bitfld.long 0x00 16. "group_status_bit16,group_status_bit16" "0,1" bitfld.long 0x00 15. "group_status_bit15,group_status_bit15" "0,1" bitfld.long 0x00 14. "group_status_bit14,group_status_bit14" "0,1" bitfld.long 0x00 13. "group_status_bit13,group_status_bit13" "0,1" bitfld.long 0x00 12. "group_status_bit12,group_status_bit12" "0,1" newline bitfld.long 0x00 11. "group_status_bit11,group_status_bit11" "0,1" bitfld.long 0x00 10. "group_status_bit10,group_status_bit10" "0,1" bitfld.long 0x00 9. "group_status_bit9,group_status_bit9" "0,1" bitfld.long 0x00 8. "group_status_bit8,group_status_bit8" "0,1" bitfld.long 0x00 7. "group_status_bit7,group_status_bit7" "0,1" newline bitfld.long 0x00 6. "group_status_bit6,group_status_bit6" "0,1" bitfld.long 0x00 5. "group_status_bit5,group_status_bit5" "0,1" bitfld.long 0x00 4. "group_status_bit4,group_status_bit4" "0,1" bitfld.long 0x00 3. "group_status_bit3,group_status_bit3" "0,1" bitfld.long 0x00 2. "group_status_bit2,group_status_bit2" "0,1" newline bitfld.long 0x00 1. "group_status_bit1,group_status_bit1" "0,1" bitfld.long 0x00 0. "group_status_bit0,group_status_bit0" "0,1" group.long 0x100++0x03 line.long 0x00 "GICR1_ISENABLER0,GICR1_ISENABLER0" bitfld.long 0x00 31. "set_enable_bit31,set_enable_bit31" "0,1" bitfld.long 0x00 30. "set_enable_bit30,set_enable_bit30" "0,1" bitfld.long 0x00 29. "set_enable_bit29,set_enable_bit29" "0,1" bitfld.long 0x00 28. "set_enable_bit28,set_enable_bit28" "0,1" bitfld.long 0x00 27. "set_enable_bit27,set_enable_bit27" "0,1" newline bitfld.long 0x00 26. "set_enable_bit26,set_enable_bit26" "0,1" bitfld.long 0x00 25. "set_enable_bit25,set_enable_bit25" "0,1" bitfld.long 0x00 24. "set_enable_bit24,set_enable_bit24" "0,1" bitfld.long 0x00 23. "set_enable_bit23,set_enable_bit23" "0,1" bitfld.long 0x00 22. "set_enable_bit22,set_enable_bit22" "0,1" newline bitfld.long 0x00 21. "set_enable_bit21,set_enable_bit21" "0,1" bitfld.long 0x00 20. "set_enable_bit20,set_enable_bit20" "0,1" bitfld.long 0x00 19. "set_enable_bit19,set_enable_bit19" "0,1" bitfld.long 0x00 18. "set_enable_bit18,set_enable_bit18" "0,1" bitfld.long 0x00 17. "set_enable_bit17,set_enable_bit17" "0,1" newline bitfld.long 0x00 16. "set_enable_bit16,set_enable_bit16" "0,1" bitfld.long 0x00 15. "set_enable_bit15,set_enable_bit15" "0,1" bitfld.long 0x00 14. "set_enable_bit14,set_enable_bit14" "0,1" bitfld.long 0x00 13. "set_enable_bit13,set_enable_bit13" "0,1" bitfld.long 0x00 12. "set_enable_bit12,set_enable_bit12" "0,1" newline bitfld.long 0x00 11. "set_enable_bit11,set_enable_bit11" "0,1" bitfld.long 0x00 10. "set_enable_bit10,set_enable_bit10" "0,1" bitfld.long 0x00 9. "set_enable_bit9,set_enable_bit9" "0,1" bitfld.long 0x00 8. "set_enable_bit8,set_enable_bit8" "0,1" bitfld.long 0x00 7. "set_enable_bit7,set_enable_bit7" "0,1" newline bitfld.long 0x00 6. "set_enable_bit6,set_enable_bit6" "0,1" bitfld.long 0x00 5. "set_enable_bit5,set_enable_bit5" "0,1" bitfld.long 0x00 4. "set_enable_bit4,set_enable_bit4" "0,1" bitfld.long 0x00 3. "set_enable_bit3,set_enable_bit3" "0,1" bitfld.long 0x00 2. "set_enable_bit2,set_enable_bit2" "0,1" newline bitfld.long 0x00 1. "set_enable_bit1,set_enable_bit1" "0,1" bitfld.long 0x00 0. "set_enable_bit0,set_enable_bit0" "0,1" group.long 0x180++0x03 line.long 0x00 "GICR1_ICENABLER0,GICR1_ICENABLER0" bitfld.long 0x00 31. "clear_enable_bit31,clear_enable_bit31" "0,1" bitfld.long 0x00 30. "clear_enable_bit30,clear_enable_bit30" "0,1" bitfld.long 0x00 29. "clear_enable_bit29,clear_enable_bit29" "0,1" bitfld.long 0x00 28. "clear_enable_bit28,clear_enable_bit28" "0,1" bitfld.long 0x00 27. "clear_enable_bit27,clear_enable_bit27" "0,1" newline bitfld.long 0x00 26. "clear_enable_bit26,clear_enable_bit26" "0,1" bitfld.long 0x00 25. "clear_enable_bit25,clear_enable_bit25" "0,1" bitfld.long 0x00 24. "clear_enable_bit24,clear_enable_bit24" "0,1" bitfld.long 0x00 23. "clear_enable_bit23,clear_enable_bit23" "0,1" bitfld.long 0x00 22. "clear_enable_bit22,clear_enable_bit22" "0,1" newline bitfld.long 0x00 21. "clear_enable_bit21,clear_enable_bit21" "0,1" bitfld.long 0x00 20. "clear_enable_bit20,clear_enable_bit20" "0,1" bitfld.long 0x00 19. "clear_enable_bit19,clear_enable_bit19" "0,1" bitfld.long 0x00 18. "clear_enable_bit18,clear_enable_bit18" "0,1" bitfld.long 0x00 17. "clear_enable_bit17,clear_enable_bit17" "0,1" newline bitfld.long 0x00 16. "clear_enable_bit16,clear_enable_bit16" "0,1" bitfld.long 0x00 15. "clear_enable_bit15,clear_enable_bit15" "0,1" bitfld.long 0x00 14. "clear_enable_bit14,clear_enable_bit14" "0,1" bitfld.long 0x00 13. "clear_enable_bit13,clear_enable_bit13" "0,1" bitfld.long 0x00 12. "clear_enable_bit12,clear_enable_bit12" "0,1" newline bitfld.long 0x00 11. "clear_enable_bit11,clear_enable_bit11" "0,1" bitfld.long 0x00 10. "clear_enable_bit10,clear_enable_bit10" "0,1" bitfld.long 0x00 9. "clear_enable_bit9,clear_enable_bit9" "0,1" bitfld.long 0x00 8. "clear_enable_bit8,clear_enable_bit8" "0,1" bitfld.long 0x00 7. "clear_enable_bit7,clear_enable_bit7" "0,1" newline bitfld.long 0x00 6. "clear_enable_bit6,clear_enable_bit6" "0,1" bitfld.long 0x00 5. "clear_enable_bit5,clear_enable_bit5" "0,1" bitfld.long 0x00 4. "clear_enable_bit4,clear_enable_bit4" "0,1" bitfld.long 0x00 3. "clear_enable_bit3,clear_enable_bit3" "0,1" bitfld.long 0x00 2. "clear_enable_bit2,clear_enable_bit2" "0,1" newline bitfld.long 0x00 1. "clear_enable_bit1,clear_enable_bit1" "0,1" bitfld.long 0x00 0. "clear_enable_bit0,clear_enable_bit0" "0,1" group.long 0x200++0x03 line.long 0x00 "GICR1_ISPENDR0,GICR1_ISPENDR0" bitfld.long 0x00 31. "set_pending_bit31,set_pending_bit31" "0,1" bitfld.long 0x00 30. "set_pending_bit30,set_pending_bit30" "0,1" bitfld.long 0x00 29. "set_pending_bit29,set_pending_bit29" "0,1" bitfld.long 0x00 28. "set_pending_bit28,set_pending_bit28" "0,1" bitfld.long 0x00 27. "set_pending_bit27,set_pending_bit27" "0,1" newline bitfld.long 0x00 26. "set_pending_bit26,set_pending_bit26" "0,1" bitfld.long 0x00 25. "set_pending_bit25,set_pending_bit25" "0,1" bitfld.long 0x00 24. "set_pending_bit24,set_pending_bit24" "0,1" bitfld.long 0x00 23. "set_pending_bit23,set_pending_bit23" "0,1" bitfld.long 0x00 22. "set_pending_bit22,set_pending_bit22" "0,1" newline bitfld.long 0x00 21. "set_pending_bit21,set_pending_bit21" "0,1" bitfld.long 0x00 20. "set_pending_bit20,set_pending_bit20" "0,1" bitfld.long 0x00 19. "set_pending_bit19,set_pending_bit19" "0,1" bitfld.long 0x00 18. "set_pending_bit18,set_pending_bit18" "0,1" bitfld.long 0x00 17. "set_pending_bit17,set_pending_bit17" "0,1" newline bitfld.long 0x00 16. "set_pending_bit16,set_pending_bit16" "0,1" bitfld.long 0x00 15. "set_pending_bit15,set_pending_bit15" "0,1" bitfld.long 0x00 14. "set_pending_bit14,set_pending_bit14" "0,1" bitfld.long 0x00 13. "set_pending_bit13,set_pending_bit13" "0,1" bitfld.long 0x00 12. "set_pending_bit12,set_pending_bit12" "0,1" newline bitfld.long 0x00 11. "set_pending_bit11,set_pending_bit11" "0,1" bitfld.long 0x00 10. "set_pending_bit10,set_pending_bit10" "0,1" bitfld.long 0x00 9. "set_pending_bit9,set_pending_bit9" "0,1" bitfld.long 0x00 8. "set_pending_bit8,set_pending_bit8" "0,1" bitfld.long 0x00 7. "set_pending_bit7,set_pending_bit7" "0,1" newline bitfld.long 0x00 6. "set_pending_bit6,set_pending_bit6" "0,1" bitfld.long 0x00 5. "set_pending_bit5,set_pending_bit5" "0,1" bitfld.long 0x00 4. "set_pending_bit4,set_pending_bit4" "0,1" bitfld.long 0x00 3. "set_pending_bit3,set_pending_bit3" "0,1" bitfld.long 0x00 2. "set_pending_bit2,set_pending_bit2" "0,1" newline bitfld.long 0x00 1. "set_pending_bit1,set_pending_bit1" "0,1" bitfld.long 0x00 0. "set_pending_bit0,set_pending_bit0" "0,1" group.long 0x280++0x03 line.long 0x00 "GICR1_ICPENDR0,GICR1_ICPENDR0" bitfld.long 0x00 31. "clear_pending_bit31,clear_pending_bit31" "0,1" bitfld.long 0x00 30. "clear_pending_bit30,clear_pending_bit30" "0,1" bitfld.long 0x00 29. "clear_pending_bit29,clear_pending_bit29" "0,1" bitfld.long 0x00 28. "clear_pending_bit28,clear_pending_bit28" "0,1" bitfld.long 0x00 27. "clear_pending_bit27,clear_pending_bit27" "0,1" newline bitfld.long 0x00 26. "clear_pending_bit26,clear_pending_bit26" "0,1" bitfld.long 0x00 25. "clear_pending_bit25,clear_pending_bit25" "0,1" bitfld.long 0x00 24. "clear_pending_bit24,clear_pending_bit24" "0,1" bitfld.long 0x00 23. "clear_pending_bit23,clear_pending_bit23" "0,1" bitfld.long 0x00 22. "clear_pending_bit22,clear_pending_bit22" "0,1" newline bitfld.long 0x00 21. "clear_pending_bit21,clear_pending_bit21" "0,1" bitfld.long 0x00 20. "clear_pending_bit20,clear_pending_bit20" "0,1" bitfld.long 0x00 19. "clear_pending_bit19,clear_pending_bit19" "0,1" bitfld.long 0x00 18. "clear_pending_bit18,clear_pending_bit18" "0,1" bitfld.long 0x00 17. "clear_pending_bit17,clear_pending_bit17" "0,1" newline bitfld.long 0x00 16. "clear_pending_bit16,clear_pending_bit16" "0,1" bitfld.long 0x00 15. "clear_pending_bit15,clear_pending_bit15" "0,1" bitfld.long 0x00 14. "clear_pending_bit14,clear_pending_bit14" "0,1" bitfld.long 0x00 13. "clear_pending_bit13,clear_pending_bit13" "0,1" bitfld.long 0x00 12. "clear_pending_bit12,clear_pending_bit12" "0,1" newline bitfld.long 0x00 11. "clear_pending_bit11,clear_pending_bit11" "0,1" bitfld.long 0x00 10. "clear_pending_bit10,clear_pending_bit10" "0,1" bitfld.long 0x00 9. "clear_pending_bit9,clear_pending_bit9" "0,1" bitfld.long 0x00 8. "clear_pending_bit8,clear_pending_bit8" "0,1" bitfld.long 0x00 7. "clear_pending_bit7,clear_pending_bit7" "0,1" newline bitfld.long 0x00 6. "clear_pending_bit6,clear_pending_bit6" "0,1" bitfld.long 0x00 5. "clear_pending_bit5,clear_pending_bit5" "0,1" bitfld.long 0x00 4. "clear_pending_bit4,clear_pending_bit4" "0,1" bitfld.long 0x00 3. "clear_pending_bit3,clear_pending_bit3" "0,1" bitfld.long 0x00 2. "clear_pending_bit2,clear_pending_bit2" "0,1" newline bitfld.long 0x00 1. "clear_pending_bit1,clear_pending_bit1" "0,1" bitfld.long 0x00 0. "clear_pending_bit0,clear_pending_bit0" "0,1" group.long 0x300++0x03 line.long 0x00 "GICR1_ISACTIVER0,GICR1_ISACTIVER0" bitfld.long 0x00 31. "set_active_bit31,set_active_bit31" "0,1" bitfld.long 0x00 30. "set_active_bit30,set_active_bit30" "0,1" bitfld.long 0x00 29. "set_active_bit29,set_active_bit29" "0,1" bitfld.long 0x00 28. "set_active_bit28,set_active_bit28" "0,1" bitfld.long 0x00 27. "set_active_bit27,set_active_bit27" "0,1" newline bitfld.long 0x00 26. "set_active_bit26,set_active_bit26" "0,1" bitfld.long 0x00 25. "set_active_bit25,set_active_bit25" "0,1" bitfld.long 0x00 24. "set_active_bit24,set_active_bit24" "0,1" bitfld.long 0x00 23. "set_active_bit23,set_active_bit23" "0,1" bitfld.long 0x00 22. "set_active_bit22,set_active_bit22" "0,1" newline bitfld.long 0x00 21. "set_active_bit21,set_active_bit21" "0,1" bitfld.long 0x00 20. "set_active_bit20,set_active_bit20" "0,1" bitfld.long 0x00 19. "set_active_bit19,set_active_bit19" "0,1" bitfld.long 0x00 18. "set_active_bit18,set_active_bit18" "0,1" bitfld.long 0x00 17. "set_active_bit17,set_active_bit17" "0,1" newline bitfld.long 0x00 16. "set_active_bit16,set_active_bit16" "0,1" bitfld.long 0x00 15. "set_active_bit15,set_active_bit15" "0,1" bitfld.long 0x00 14. "set_active_bit14,set_active_bit14" "0,1" bitfld.long 0x00 13. "set_active_bit13,set_active_bit13" "0,1" bitfld.long 0x00 12. "set_active_bit12,set_active_bit12" "0,1" newline bitfld.long 0x00 11. "set_active_bit11,set_active_bit11" "0,1" bitfld.long 0x00 10. "set_active_bit10,set_active_bit10" "0,1" bitfld.long 0x00 9. "set_active_bit9,set_active_bit9" "0,1" bitfld.long 0x00 8. "set_active_bit8,set_active_bit8" "0,1" bitfld.long 0x00 7. "set_active_bit7,set_active_bit7" "0,1" newline bitfld.long 0x00 6. "set_active_bit6,set_active_bit6" "0,1" bitfld.long 0x00 5. "set_active_bit5,set_active_bit5" "0,1" bitfld.long 0x00 4. "set_active_bit4,set_active_bit4" "0,1" bitfld.long 0x00 3. "set_active_bit3,set_active_bit3" "0,1" bitfld.long 0x00 2. "set_active_bit2,set_active_bit2" "0,1" newline bitfld.long 0x00 1. "set_active_bit1,set_active_bit1" "0,1" bitfld.long 0x00 0. "set_active_bit0,set_active_bit0" "0,1" group.long 0x380++0x03 line.long 0x00 "GICR1_ICACTIVER0,GICR1_ICACTIVER0" bitfld.long 0x00 31. "clear_active_bit31,clear_active_bit31" "0,1" bitfld.long 0x00 30. "clear_active_bit30,clear_active_bit30" "0,1" bitfld.long 0x00 29. "clear_active_bit29,clear_active_bit29" "0,1" bitfld.long 0x00 28. "clear_active_bit28,clear_active_bit28" "0,1" bitfld.long 0x00 27. "clear_active_bit27,clear_active_bit27" "0,1" newline bitfld.long 0x00 26. "clear_active_bit26,clear_active_bit26" "0,1" bitfld.long 0x00 25. "clear_active_bit25,clear_active_bit25" "0,1" bitfld.long 0x00 24. "clear_active_bit24,clear_active_bit24" "0,1" bitfld.long 0x00 23. "clear_active_bit23,clear_active_bit23" "0,1" bitfld.long 0x00 22. "clear_active_bit22,clear_active_bit22" "0,1" newline bitfld.long 0x00 21. "clear_active_bit21,clear_active_bit21" "0,1" bitfld.long 0x00 20. "clear_active_bit20,clear_active_bit20" "0,1" bitfld.long 0x00 19. "clear_active_bit19,clear_active_bit19" "0,1" bitfld.long 0x00 18. "clear_active_bit18,clear_active_bit18" "0,1" bitfld.long 0x00 17. "clear_active_bit17,clear_active_bit17" "0,1" newline bitfld.long 0x00 16. "clear_active_bit16,clear_active_bit16" "0,1" bitfld.long 0x00 15. "clear_active_bit15,clear_active_bit15" "0,1" bitfld.long 0x00 14. "clear_active_bit14,clear_active_bit14" "0,1" bitfld.long 0x00 13. "clear_active_bit13,clear_active_bit13" "0,1" bitfld.long 0x00 12. "clear_active_bit12,clear_active_bit12" "0,1" newline bitfld.long 0x00 11. "clear_active_bit11,clear_active_bit11" "0,1" bitfld.long 0x00 10. "clear_active_bit10,clear_active_bit10" "0,1" bitfld.long 0x00 9. "clear_active_bit9,clear_active_bit9" "0,1" bitfld.long 0x00 8. "clear_active_bit8,clear_active_bit8" "0,1" bitfld.long 0x00 7. "clear_active_bit7,clear_active_bit7" "0,1" newline bitfld.long 0x00 6. "clear_active_bit6,clear_active_bit6" "0,1" bitfld.long 0x00 5. "clear_active_bit5,clear_active_bit5" "0,1" bitfld.long 0x00 4. "clear_active_bit4,clear_active_bit4" "0,1" bitfld.long 0x00 3. "clear_active_bit3,clear_active_bit3" "0,1" bitfld.long 0x00 2. "clear_active_bit2,clear_active_bit2" "0,1" newline bitfld.long 0x00 1. "clear_active_bit1,clear_active_bit1" "0,1" bitfld.long 0x00 0. "clear_active_bit0,clear_active_bit0" "0,1" repeat 8. (strings "0" "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x400)++0x03 line.long 0x00 "GICR1_IPRIORITYR$1,GICR1_IPRIORITYR $1" hexmask.long.byte 0x00 24.--31. 1. "offset3,offset3" hexmask.long.byte 0x00 16.--23. 1. "offset2,offset2" hexmask.long.byte 0x00 8.--15. 1. "offset1,offset1" hexmask.long.byte 0x00 0.--7. 1. "offset0,offset0" repeat.end repeat 2. (strings "0" "1" )(list 0x00 0x04 ) rgroup.long ($2+0xC00)++0x03 line.long 0x00 "GICR1_ICFGR$1,GICR1_ICFGR $1" bitfld.long 0x00 30.--31. "int_config15,int_config15" "0,1,2,3" bitfld.long 0x00 28.--29. "int_config14,int_config14" "0,1,2,3" bitfld.long 0x00 26.--27. "int_config13,int_config13" "0,1,2,3" bitfld.long 0x00 24.--25. "int_config12,int_config12" "0,1,2,3" bitfld.long 0x00 22.--23. "int_config11,int_config11" "0,1,2,3" newline bitfld.long 0x00 20.--21. "int_config10,int_config10" "0,1,2,3" bitfld.long 0x00 18.--19. "int_config9,int_config9" "0,1,2,3" bitfld.long 0x00 16.--17. "int_config8,int_config8" "0,1,2,3" bitfld.long 0x00 14.--15. "int_config7,int_config7" "0,1,2,3" bitfld.long 0x00 12.--13. "int_config6,int_config6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "int_config5,int_config5" "0,1,2,3" bitfld.long 0x00 8.--9. "int_config4,int_config4" "0,1,2,3" bitfld.long 0x00 6.--7. "int_config3,int_config3" "0,1,2,3" bitfld.long 0x00 4.--5. "int_config2,int_config2" "0,1,2,3" bitfld.long 0x00 2.--3. "int_config1,int_config1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "int_config0,int_config0" "0,1,2,3" repeat.end group.long 0xD00++0x03 line.long 0x00 "GICR1_IGRPMODR0,GICR1_IGRPMODR0" bitfld.long 0x00 31. "group_modifier_bit31,group_modifier_bit31" "0,1" bitfld.long 0x00 30. "group_modifier_bit30,group_modifier_bit30" "0,1" bitfld.long 0x00 29. "group_modifier_bit29,group_modifier_bit29" "0,1" bitfld.long 0x00 28. "group_modifier_bit28,group_modifier_bit28" "0,1" bitfld.long 0x00 27. "group_modifier_bit27,group_modifier_bit27" "0,1" newline bitfld.long 0x00 26. "group_modifier_bit26,group_modifier_bit26" "0,1" bitfld.long 0x00 25. "group_modifier_bit25,group_modifier_bit25" "0,1" bitfld.long 0x00 24. "group_modifier_bit24,group_modifier_bit24" "0,1" bitfld.long 0x00 23. "group_modifier_bit23,group_modifier_bit23" "0,1" bitfld.long 0x00 22. "group_modifier_bit22,group_modifier_bit22" "0,1" newline bitfld.long 0x00 21. "group_modifier_bit21,group_modifier_bit21" "0,1" bitfld.long 0x00 20. "group_modifier_bit20,group_modifier_bit20" "0,1" bitfld.long 0x00 19. "group_modifier_bit19,group_modifier_bit19" "0,1" bitfld.long 0x00 18. "group_modifier_bit18,group_modifier_bit18" "0,1" bitfld.long 0x00 17. "group_modifier_bit17,group_modifier_bit17" "0,1" newline bitfld.long 0x00 16. "group_modifier_bit16,group_modifier_bit16" "0,1" bitfld.long 0x00 15. "group_modifier_bit15,group_modifier_bit15" "0,1" bitfld.long 0x00 14. "group_modifier_bit14,group_modifier_bit14" "0,1" bitfld.long 0x00 13. "group_modifier_bit13,group_modifier_bit13" "0,1" bitfld.long 0x00 12. "group_modifier_bit12,group_modifier_bit12" "0,1" newline bitfld.long 0x00 11. "group_modifier_bit11,group_modifier_bit11" "0,1" bitfld.long 0x00 10. "group_modifier_bit10,group_modifier_bit10" "0,1" bitfld.long 0x00 9. "group_modifier_bit9,group_modifier_bit9" "0,1" bitfld.long 0x00 8. "group_modifier_bit8,group_modifier_bit8" "0,1" bitfld.long 0x00 7. "group_modifier_bit7,group_modifier_bit7" "0,1" newline bitfld.long 0x00 6. "group_modifier_bit6,group_modifier_bit6" "0,1" bitfld.long 0x00 5. "group_modifier_bit5,group_modifier_bit5" "0,1" bitfld.long 0x00 4. "group_modifier_bit4,group_modifier_bit4" "0,1" bitfld.long 0x00 3. "group_modifier_bit3,group_modifier_bit3" "0,1" bitfld.long 0x00 2. "group_modifier_bit2,group_modifier_bit2" "0,1" newline bitfld.long 0x00 1. "group_modifier_bit1,group_modifier_bit1" "0,1" bitfld.long 0x00 0. "group_modifier_bit0,group_modifier_bit0" "0,1" group.long 0xE00++0x03 line.long 0x00 "GICR1_NSACR,GICR1_NSACR" bitfld.long 0x00 30.--31. "ns_access15,ns_access15" "0,1,2,3" bitfld.long 0x00 28.--29. "ns_access14,ns_access14" "0,1,2,3" bitfld.long 0x00 26.--27. "ns_access13,ns_access13" "0,1,2,3" bitfld.long 0x00 24.--25. "ns_access12,ns_access12" "0,1,2,3" bitfld.long 0x00 22.--23. "ns_access11,ns_access11" "0,1,2,3" newline bitfld.long 0x00 20.--21. "ns_access10,ns_access10" "0,1,2,3" bitfld.long 0x00 18.--19. "ns_access9,ns_access9" "0,1,2,3" bitfld.long 0x00 16.--17. "ns_access8,ns_access8" "0,1,2,3" bitfld.long 0x00 14.--15. "ns_access7,ns_access7" "0,1,2,3" bitfld.long 0x00 12.--13. "ns_access6,ns_access6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "ns_access5,ns_access5" "0,1,2,3" bitfld.long 0x00 8.--9. "ns_access4,ns_access4" "0,1,2,3" bitfld.long 0x00 6.--7. "ns_access3,ns_access3" "0,1,2,3" bitfld.long 0x00 4.--5. "ns_access2,ns_access2" "0,1,2,3" bitfld.long 0x00 2.--3. "ns_access1,ns_access1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "ns_access0,ns_access0" "0,1,2,3" rgroup.long 0xC000++0x03 line.long 0x00 "GICR1_MISCSTATUSR,GICR1_MISCSTATUSR" bitfld.long 0x00 31. "cpu_active,cpu_active" "0,1" bitfld.long 0x00 30. "wake_request,wake_request" "0,1" hexmask.long 0x00 5.--29. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4. "access_type,access_type" "0,1" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" newline bitfld.long 0x00 2. "EnableGrp1_s,EnableGrp1_s" "0,1" bitfld.long 0x00 1. "EnableGrp1_ns,EnableGrp1_ns" "0,1" bitfld.long 0x00 0. "EnableGrp0,EnableGrp0" "0,1" rgroup.long 0xC008++0x03 line.long 0x00 "GICR1_IERRVR,GICR1_IERRVR" bitfld.long 0x00 31. "valid_bit31,valid_bit31" "0,1" bitfld.long 0x00 30. "valid_bit30,valid_bit30" "0,1" bitfld.long 0x00 29. "valid_bit29,valid_bit29" "0,1" bitfld.long 0x00 28. "valid_bit28,valid_bit28" "0,1" bitfld.long 0x00 27. "valid_bit27,valid_bit27" "0,1" newline bitfld.long 0x00 26. "valid_bit26,valid_bit26" "0,1" bitfld.long 0x00 25. "valid_bit25,valid_bit25" "0,1" bitfld.long 0x00 24. "valid_bit24,valid_bit24" "0,1" bitfld.long 0x00 23. "valid_bit23,valid_bit23" "0,1" bitfld.long 0x00 22. "valid_bit22,valid_bit22" "0,1" newline bitfld.long 0x00 21. "valid_bit21,valid_bit21" "0,1" bitfld.long 0x00 20. "valid_bit20,valid_bit20" "0,1" bitfld.long 0x00 19. "valid_bit19,valid_bit19" "0,1" bitfld.long 0x00 18. "valid_bit18,valid_bit18" "0,1" bitfld.long 0x00 17. "valid_bit17,valid_bit17" "0,1" newline bitfld.long 0x00 16. "valid_bit16,valid_bit16" "0,1" bitfld.long 0x00 15. "valid_bit15,valid_bit15" "0,1" bitfld.long 0x00 14. "valid_bit14,valid_bit14" "0,1" bitfld.long 0x00 13. "valid_bit13,valid_bit13" "0,1" bitfld.long 0x00 12. "valid_bit12,valid_bit12" "0,1" newline bitfld.long 0x00 11. "valid_bit11,valid_bit11" "0,1" bitfld.long 0x00 10. "valid_bit10,valid_bit10" "0,1" bitfld.long 0x00 9. "valid_bit9,valid_bit9" "0,1" bitfld.long 0x00 8. "valid_bit8,valid_bit8" "0,1" bitfld.long 0x00 7. "valid_bit7,valid_bit7" "0,1" newline bitfld.long 0x00 6. "valid_bit6,valid_bit6" "0,1" bitfld.long 0x00 5. "valid_bit5,valid_bit5" "0,1" bitfld.long 0x00 4. "valid_bit4,valid_bit4" "0,1" bitfld.long 0x00 3. "valid_bit3,valid_bit3" "0,1" bitfld.long 0x00 2. "valid_bit2,valid_bit2" "0,1" newline bitfld.long 0x00 1. "valid_bit1,valid_bit1" "0,1" bitfld.long 0x00 0. "valid_bit0,valid_bit0" "0,1" group.quad 0xC010++0x07 line.quad 0x00 "GICR1_SGIDR,GICR1_SGIDR" bitfld.quad 0x00 63. "RESERVED16,RESERVED16" "0,1" bitfld.quad 0x00 62. "grpmod15,grpmod15" "0,1" bitfld.quad 0x00 61. "grp15,grp15" "0,1" bitfld.quad 0x00 60. "nsacr15,nsacr15" "0,1" bitfld.quad 0x00 59. "RESERVED15,RESERVED15" "0,1" newline bitfld.quad 0x00 58. "grpmod14,grpmod14" "0,1" bitfld.quad 0x00 57. "grp14,grp14" "0,1" bitfld.quad 0x00 56. "nsacr14,nsacr14" "0,1" bitfld.quad 0x00 55. "RESERVED13,RESERVED13" "0,1" bitfld.quad 0x00 54. "grpmod13,grpmod13" "0,1" newline bitfld.quad 0x00 53. "grp13,grp13" "0,1" bitfld.quad 0x00 52. "nsacr13,nsacr13" "0,1" bitfld.quad 0x00 51. "RESERVED12,RESERVED12" "0,1" bitfld.quad 0x00 50. "grpmod12,grpmod12" "0,1" bitfld.quad 0x00 49. "grp12,grp12" "0,1" newline bitfld.quad 0x00 48. "nsacr12,nsacr12" "0,1" bitfld.quad 0x00 47. "RESERVED11,RESERVED11" "0,1" bitfld.quad 0x00 46. "grpmod11,grpmod11" "0,1" bitfld.quad 0x00 45. "grp11,grp11" "0,1" bitfld.quad 0x00 44. "nsacr11,nsacr11" "0,1" newline bitfld.quad 0x00 43. "RESERVED10,RESERVED10" "0,1" bitfld.quad 0x00 42. "grpmod10,grpmod10" "0,1" bitfld.quad 0x00 41. "grp10,grp10" "0,1" bitfld.quad 0x00 40. "nsacr10,nsacr10" "0,1" bitfld.quad 0x00 39. "RESERVED9,RESERVED9" "0,1" newline bitfld.quad 0x00 38. "grpmod9,grpmod9" "0,1" bitfld.quad 0x00 37. "grp9,grp9" "0,1" bitfld.quad 0x00 36. "nsacr9,nsacr9" "0,1" bitfld.quad 0x00 35. "RESERVED8,RESERVED8" "0,1" bitfld.quad 0x00 34. "grpmod8,grpmod8" "0,1" newline bitfld.quad 0x00 33. "grp8,grp8" "0,1" bitfld.quad 0x00 32. "nsacr8,nsacr8" "0,1" bitfld.quad 0x00 31. "RESERVED7,RESERVED7" "0,1" bitfld.quad 0x00 30. "grpmod7,grpmod7" "0,1" bitfld.quad 0x00 29. "grp7,grp7" "0,1" newline bitfld.quad 0x00 28. "nsacr7,nsacr7" "0,1" bitfld.quad 0x00 27. "RESERVED6,RESERVED6" "0,1" bitfld.quad 0x00 26. "grpmod6,grpmod6" "0,1" bitfld.quad 0x00 25. "grp6,grp6" "0,1" bitfld.quad 0x00 24. "nsacr6,nsacr6" "0,1" newline bitfld.quad 0x00 23. "RESERVED5,RESERVED5" "0,1" bitfld.quad 0x00 22. "grpmod5,grpmod5" "0,1" bitfld.quad 0x00 21. "grp5,grp5" "0,1" bitfld.quad 0x00 20. "nsacr5,nsacr5" "0,1" bitfld.quad 0x00 19. "RESERVED4,RESERVED4" "0,1" newline bitfld.quad 0x00 18. "grpmod4,grpmod4" "0,1" bitfld.quad 0x00 17. "grp4,grp4" "0,1" bitfld.quad 0x00 16. "nsacr4,nsacr4" "0,1" bitfld.quad 0x00 15. "RESERVED3,RESERVED3" "0,1" bitfld.quad 0x00 14. "grpmod3,grpmod3" "0,1" newline bitfld.quad 0x00 13. "grp3,grp3" "0,1" bitfld.quad 0x00 12. "nsacr3,nsacr3" "0,1" bitfld.quad 0x00 11. "RESERVED2,RESERVED2" "0,1" bitfld.quad 0x00 10. "grpmod2,grpmod2" "0,1" bitfld.quad 0x00 9. "grp2,grp2" "0,1" newline bitfld.quad 0x00 8. "nsacr2,nsacr2" "0,1" bitfld.quad 0x00 7. "RESERVED1,RESERVED1" "0,1" bitfld.quad 0x00 6. "grpmod1,grpmod1" "0,1" bitfld.quad 0x00 5. "grp1,grp1" "0,1" bitfld.quad 0x00 4. "nsacr1,nsacr1" "0,1" newline bitfld.quad 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.quad 0x00 2. "grpmod0,grpmod0" "0,1" bitfld.quad 0x00 1. "grp0,grp0" "0,1" bitfld.quad 0x00 0. "nsacr0,nsacr0" "0,1" rgroup.long 0xF000++0x03 line.long 0x00 "GICR1_CFGID0,GICR1_CFGID0" bitfld.long 0x00 28.--31. "Af3width,Af3width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "Af2width,Af2width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "Af1width,Af1width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "Af0width,Af0width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "TargetlistWidth,TargetlistWidth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "ECCSupport,ECCSupport" "0,1" bitfld.long 0x00 9.--10. "RESERVED0,RESERVED0" "0,1,2,3" hexmask.long.word 0x00 0.--8. 1. "PPINumber,PPINumber" rgroup.long 0xF004++0x03 line.long 0x00 "GICR1_CFGID1,GICR1_CFGID1" bitfld.long 0x00 28.--31. "Version,Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "PPIsPerProcessor,PPIsPerProcessor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 13.--15. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12. "DirectUpstream,DirectUpstream" "0,1" hexmask.long.byte 0x00 4.--11. 1. "NumCPUs,NumCPUs" bitfld.long 0x00 0.--3. "NumARE0CPUs,NumARE0CPUs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree.end tree "GICT" base ad:0x48020000 rgroup.quad 0x00++0x07 line.quad 0x00 "GICT_ERR0FR,GICT_ERR0FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x08++0x07 line.quad 0x00 "GICT_ERR0CTLR,GICT_ERR0CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x10++0x07 line.quad 0x00 "GICT_ERR0STATUS,GICT_ERR0STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" rgroup.quad 0x18++0x07 line.quad 0x00 "GICT_ERR0ADDR,GICT_ERR0ADDR" bitfld.quad 0x00 63. "NS,NS" "0,1" hexmask.quad.word 0x00 48.--62. 1. "RESERVED0,RESERVED0" hexmask.quad.quad 0x00 0.--47. 1. "PADDR,PADDR" group.quad 0x20++0x07 line.quad 0x00 "GICT_ERR0MISC0,GICT_ERR0MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x28++0x07 line.quad 0x00 "GICT_ERR0MISC1,GICT_ERR0MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x40++0x07 line.quad 0x00 "GICT_ERR1FR,GICT_ERR1FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x48++0x07 line.quad 0x00 "GICT_ERR1CTLR,GICT_ERR1CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x50++0x07 line.quad 0x00 "GICT_ERR1STATUS,GICT_ERR1STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x60++0x07 line.quad 0x00 "GICT_ERR1MISC0,GICT_ERR1MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x68++0x07 line.quad 0x00 "GICT_ERR1MISC1,GICT_ERR1MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x80++0x07 line.quad 0x00 "GICT_ERR2FR,GICT_ERR2FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x88++0x07 line.quad 0x00 "GICT_ERR2CTLR,GICT_ERR2CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x90++0x07 line.quad 0x00 "GICT_ERR2STATUS,GICT_ERR2STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0xA0++0x07 line.quad 0x00 "GICT_ERR2MISC0,GICT_ERR2MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0xA8++0x07 line.quad 0x00 "GICT_ERR2MISC1,GICT_ERR2MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0xC0++0x07 line.quad 0x00 "GICT_ERR3FR,GICT_ERR3FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0xC8++0x07 line.quad 0x00 "GICT_ERR3CTLR,GICT_ERR3CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0xD0++0x07 line.quad 0x00 "GICT_ERR3STATUS,GICT_ERR3STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0xE0++0x07 line.quad 0x00 "GICT_ERR3MISC0,GICT_ERR3MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0xE8++0x07 line.quad 0x00 "GICT_ERR3MISC1,GICT_ERR3MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x100++0x07 line.quad 0x00 "GICT_ERR4FR,GICT_ERR4FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x108++0x07 line.quad 0x00 "GICT_ERR4CTLR,GICT_ERR4CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x110++0x07 line.quad 0x00 "GICT_ERR4STATUS,GICT_ERR4STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x120++0x07 line.quad 0x00 "GICT_ERR4MISC0,GICT_ERR4MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x128++0x07 line.quad 0x00 "GICT_ERR4MISC1,GICT_ERR4MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x140++0x07 line.quad 0x00 "GICT_ERR5FR,GICT_ERR5FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x148++0x07 line.quad 0x00 "GICT_ERR5CTLR,GICT_ERR5CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x150++0x07 line.quad 0x00 "GICT_ERR5STATUS,GICT_ERR5STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x160++0x07 line.quad 0x00 "GICT_ERR5MISC0,GICT_ERR5MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x168++0x07 line.quad 0x00 "GICT_ERR5MISC1,GICT_ERR5MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x180++0x07 line.quad 0x00 "GICT_ERR6FR,GICT_ERR6FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x188++0x07 line.quad 0x00 "GICT_ERR6CTLR,GICT_ERR6CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x190++0x07 line.quad 0x00 "GICT_ERR6STATUS,GICT_ERR6STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x1A0++0x07 line.quad 0x00 "GICT_ERR6MISC0,GICT_ERR6MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x1A8++0x07 line.quad 0x00 "GICT_ERR6MISC1,GICT_ERR6MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x1C0++0x07 line.quad 0x00 "GICT_ERR7FR,GICT_ERR7FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x1C8++0x07 line.quad 0x00 "GICT_ERR7CTLR,GICT_ERR7CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x1D0++0x07 line.quad 0x00 "GICT_ERR7STATUS,GICT_ERR7STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x1E0++0x07 line.quad 0x00 "GICT_ERR7MISC0,GICT_ERR7MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x1E8++0x07 line.quad 0x00 "GICT_ERR7MISC1,GICT_ERR7MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0x200++0x07 line.quad 0x00 "GICT_ERR8FR,GICT_ERR8FR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 15. "RP,RP" "0,1" bitfld.quad 0x00 12.--14. "CEC,CEC" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 10.--11. "CFI,CFI" "0,1,2,3" bitfld.quad 0x00 8.--9. "UE,UE" "0,1,2,3" bitfld.quad 0x00 6.--7. "FI,FI" "0,1,2,3" newline bitfld.quad 0x00 4.--5. "UI,UI" "0,1,2,3" bitfld.quad 0x00 2.--3. "DE,DE" "0,1,2,3" bitfld.quad 0x00 0.--1. "ED,ED" "0,1,2,3" group.quad 0x208++0x07 line.quad 0x00 "GICT_ERR8CTLR,GICT_ERR8CTLR" hexmask.quad.quad 0x00 16.--63. 1. "RESERVED3,RESERVED3" bitfld.quad 0x00 15. "RP,RP" "0,1" rbitfld.quad 0x00 9.--14. "RESERVED2,RESERVED2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 8. "CFI,CFI" "0,1" rbitfld.quad 0x00 5.--7. "RESERVED1,RESERVED1" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 4. "UE,UE" "0,1" newline bitfld.quad 0x00 3. "FI,FI" "0,1" bitfld.quad 0x00 2. "UI,UI" "0,1" rbitfld.quad 0x00 0.--1. "RESERVED0,RESERVED0" "0,1,2,3" group.quad 0x210++0x07 line.quad 0x00 "GICT_ERR8STATUS,GICT_ERR8STATUS" hexmask.quad.long 0x00 32.--63. 1. "RESERVED2,RESERVED2" bitfld.quad 0x00 31. "AV,AV" "0,1" bitfld.quad 0x00 30. "V,V" "0,1" bitfld.quad 0x00 29. "UE,UE" "0,1" bitfld.quad 0x00 28. "ER,ER" "0,1" bitfld.quad 0x00 27. "OF,OF" "0,1" newline bitfld.quad 0x00 26. "MV,MV" "0,1" bitfld.quad 0x00 24.--25. "CE,CE" "0,1,2,3" bitfld.quad 0x00 22.--23. "RESERVED1,RESERVED1" "0,1,2,3" bitfld.quad 0x00 20.--21. "UET,UET" "0,1,2,3" bitfld.quad 0x00 16.--19. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 8.--15. 1. "IERR,IERR" newline hexmask.quad.byte 0x00 0.--7. 1. "SERR,SERR" group.quad 0x220++0x07 line.quad 0x00 "GICT_ERR8MISC0,GICT_ERR8MISC0" hexmask.quad.tbyte 0x00 42.--63. 1. "RESERVED0,RESERVED0" bitfld.quad 0x00 41. "RE,RE" "0,1" bitfld.quad 0x00 40. "OF,OF" "0,1" hexmask.quad.byte 0x00 32.--39. 1. "CNT,CNT" hexmask.quad.long 0x00 0.--31. 1. "Data,Data" group.quad 0x228++0x07 line.quad 0x00 "GICT_ERR8MISC1,GICT_ERR8MISC1" hexmask.quad 0x00 0.--63. 1. "DATA,DATA" rgroup.quad 0xE000++0x07 line.quad 0x00 "GICT_ERRGSR0,GICT_ERRGSR0" hexmask.quad 0x00 0.--63. 1. "Status,Status" repeat 2. (strings "0" "1" )(list 0x00 0x08 ) group.quad ($2+0xE800)++0x07 line.quad 0x00 "GICT_ERRIRQCR$1,GICT_ERRIRQCR $1" hexmask.quad.quad 0x00 10.--63. 1. "RESERVED0,RESERVED0" hexmask.quad.word 0x00 0.--9. 1. "SPIID,SPIID" repeat.end rgroup.long 0xFFBC++0x03 line.long 0x00 "GICT_DEVARCH,GICT_DEVARCH" hexmask.long.word 0x00 21.--31. 1. "ARCHITECT,ARCHITECT" bitfld.long 0x00 20. "PRESENT,PRESENT" "0,1" bitfld.long 0x00 16.--19. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "RESERVED0,RESERVED0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "ARCHID,ARCHID" rgroup.long 0xFFC8++0x03 line.long 0x00 "GICT_DEVID,GICT_DEVID" hexmask.long.word 0x00 16.--31. 1. "RESERVED0,RESERVED0" hexmask.long.word 0x00 0.--15. 1. "NumRecords,NumRecords" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICT_PIDR4,GICT_PIDR4" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "SIZE,SIZE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "DES_2,DES_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 3. (strings "5" "6" "7" )(list 0x00 0x04 0x08 ) rgroup.long ($2+0xFFD4)++0x03 line.long 0x00 "GICT_PIDR$1,GICT_PIDR $1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,RESERVED" repeat.end rgroup.long 0xFFE0++0x03 line.long 0x00 "GICT_PIDR0,GICT_PIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PART_0,PART_0" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICT_PIDR1,GICT_PIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "DES_0,DES_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PART_1,PART_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICT_PIDR2,GICT_PIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "REVISION,REVISION" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "JEDEC,JEDEC" "0,1" bitfld.long 0x00 0.--2. "DES_1,DES_1" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICT_PIDR3,GICT_PIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED1,RESERVED1" bitfld.long 0x00 4.--7. "REVAND,REVAND" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "RESERVED0,RESERVED0" "0,1" bitfld.long 0x00 0.--2. "CMOD,CMOD" "0,1,2,3,4,5,6,7" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICT_CIDR0,GICT_CIDR0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_0,PRMBL_0" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICT_CIDR1,GICT_CIDR1" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" bitfld.long 0x00 4.--7. "CLASS,CLASS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "PRMBL_1,PRMBL_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICT_CIDR2,GICT_CIDR2" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_2,PRMBL_2" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICT_CIDR3,GICT_CIDR3" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED0,RESERVED0" hexmask.long.byte 0x00 0.--7. 1. "PRMBL_3,PRMBL_3" tree.end tree "GPC" repeat 2. (list 0. 1.) (list ad:0x44470800 ad:0x44471000) tree "GPC_CTRL_CA55_$1" base $2 group.long 0x04++0x03 line.long 0x00 "CM_AUTHEN_CTRL,CM Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_SETTING,Lock NONSECURE and USER" "0,1" bitfld.long 0x00 9. "NONSECURE,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "USER,Allow user mode access" "0,1" bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" group.long 0x0C++0x03 line.long 0x00 "CM_MISC,Miscellaneous" bitfld.long 0x00 6. "SW_WAKEUP,software wakeup just used for cpu hotplug" "0,1" bitfld.long 0x00 5. "IRQ_MUX,irq select" "0: FROM_RAW_IRQ,1: FROM_GIC" newline rbitfld.long 0x00 4. "GIC_WAKEUP_STAT,GIC wakeup request status" "0,1" bitfld.long 0x00 3. "SLEEP_HOLD_UPDATE_VALID,Allow to use the updated cpu_sleep_hold_req logic" "0,1" newline rbitfld.long 0x00 2. "SLEEP_HOLD_STAT,Status of cpu_sleep_hold_ack_b" "0,1" bitfld.long 0x00 1. "SLEEP_HOLD_EN,Allow cpu_sleep_hold_req assert during CPU low power status" "0,1" newline rbitfld.long 0x00 0. "NMI_STAT,Non-masked interrupt status" "0,1" group.long 0x10++0x03 line.long 0x00 "CM_MODE_CTRL,CPU mode control" bitfld.long 0x00 4. "WFE_EN,WFE assertion can be sleep event" "0,1" bitfld.long 0x00 0.--1. "CPU_MODE_TARGET,The CPU mode the CPU platform should transit to on next sleep event" "0: STAY_IN_RUN_MODE,1: Transit to WAIT mode,2: Transit to STOP mode,3: Transit to SUSPEND mode" rgroup.long 0x14++0x03 line.long 0x00 "CM_MODE_STAT,CM CPU mode Status" bitfld.long 0x00 24.--28. "FSM_STATE,CPU mode trans FSM state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18. "WAKEUP_REQUEST,ORed of all unmasked IRQ in" "0,1" newline bitfld.long 0x00 17. "WFE_REQUEST,Status of standby_wfe input port" "0,1" bitfld.long 0x00 16. "SLEEP_REQUEST,Status of sleep_request input port" "0,1" newline bitfld.long 0x00 10. "SLEEPING_IDLE,Completed CPU mode and set point transition of sleep sequence in a sleeping_idle state" "0,1" bitfld.long 0x00 9. "WAKEUP_TRANS_BUSY,Busy on CPU mode transition of wakeup not include set point trans busy" "0,1" newline bitfld.long 0x00 8. "SLEEP_TRANS_BUSY,Busy on CPU mode transition of sleep not include set point trans busy" "0,1" bitfld.long 0x00 2.--3. "CPU_MODE_PREVIOUS,Previous CPU mode" "0,1,2,3" newline bitfld.long 0x00 0.--1. "CPU_MODE_CURRENT,Current CPU mode" "0,1,2,3" rgroup.long 0x18++0x03 line.long 0x00 "CM_PIN_STAT,CM pin Status" bitfld.long 0x00 31. "DEBUG_WAKEUP_ACK_STAT,debug wakeup acknowledge pin status" "0,1" bitfld.long 0x00 29.--30. "CPU_MODE_STAT,cpu_power_mode pin status" "0,1,2,3" newline bitfld.long 0x00 24. "MTR_DONE_STAT,cpu_mode_trans_mtr_done pin status" "0,1" bitfld.long 0x00 23. "POWER_DONE_STAT,cpu_mode_trans_power_done pin status" "0,1" newline bitfld.long 0x00 22. "RESET_DONE_STAT,cpu_mode_trans_reset_done pin status" "0,1" bitfld.long 0x00 21. "MEM_DONE_STAT,cpu_mode_trans_mem_done pin status" "0,1" newline bitfld.long 0x00 20. "ISO_DONE_STAT,cpu_mode_trans_iso_done pin status" "0,1" bitfld.long 0x00 19. "PLL_DONE_STAT,cpu_mode_trans_pll_done pin status" "0,1" newline bitfld.long 0x00 18. "LPCG_DONE_STAT,cpu_mode_trans_lpcg_done pin status" "0,1" bitfld.long 0x00 17. "SSAR_DONE_STAT,cpu_mode_trans_ssar_done pin status" "0,1" newline bitfld.long 0x00 16. "A55_HDSK_DONE_STAT,cpu_mode_trans_a55_hdsk_done pin status" "0,1" bitfld.long 0x00 8. "MTR_REQUEST_STAT,cpu_mode_trans_mtr_request pin status" "0,1" newline bitfld.long 0x00 7. "POWER_REQUEST_STAT,cpu_mode_trans_power_request pin status" "0,1" bitfld.long 0x00 6. "RESET_REQUEST_STAT,cpu_mode_trans_reset_request pin status" "0,1" newline bitfld.long 0x00 5. "MEM_REQUEST_STAT,cpu_mode_trans_mem_request pin status" "0,1" bitfld.long 0x00 4. "ISO_REQUEST_STAT,cpu_mode_trans_iso_request pin status" "0,1" newline bitfld.long 0x00 3. "PLL_REQUEST_STAT,cpu_mode_trans_pll_request pin status" "0,1" bitfld.long 0x00 2. "LPCG_REQUEST_STAT,cpu_mode_trans_lpcg_request pin status" "0,1" newline bitfld.long 0x00 1. "SSAR_REQUEST_STAT,cpu_mode_trans_ssar_request pin status" "0,1" bitfld.long 0x00 0. "A55_HDSK_REQUEST_STAT,cpu_mode_trans_a55_hdsk_request pin status" "0,1" group.long 0x100++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_0,CM IRQ0~31 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_0_31,1 means the IRQ cannot wakeup CPU platform" group.long 0x104++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_1,CM IRQ32~63 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_32_63,1 means the IRQ cannot wakeup CPU platform" group.long 0x108++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_2,CM IRQ64~95 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_64_95,1 means the IRQ cannot wakeup CPU platform" group.long 0x10C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_3,CM IRQ96~127 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_96_127,1 means the IRQ cannot wakeup CPU platform" group.long 0x110++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_4,CM IRQ128~159 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_128_159,1 means the IRQ cannot wakeup CPU platform" group.long 0x114++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_5,CM IRQ160~191 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_160_191,1 means the IRQ cannot wakeup CPU platform" group.long 0x118++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_6,CM IRQ192~223 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_192_223,1 means the IRQ cannot wakeup CPU platform" group.long 0x11C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_7,CM IRQ224~255 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,1 means the IRQ cannot wakeup CPU platform" group.long 0x140++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_MASK,CM non-irq wakeup mask" bitfld.long 0x00 1. "DEBUG_WAKEUP_MASK,1 means the debug_wakeup_request cannot wakeup CPU platform" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_MASK,1 means the event cannot wakeup CPU platform" "0,1" rgroup.long 0x150++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_0,CM IRQ0~31 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_0_31,IRQ status" rgroup.long 0x154++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_1,CM IRQ32~63 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_32_63,IRQ status" rgroup.long 0x158++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_2,CM IRQ64~95 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_64_95,IRQ status" rgroup.long 0x15C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_3,CM IRQ96~127 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_96_127,IRQ status" rgroup.long 0x160++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_4,CM IRQ128~159 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_128_159,IRQ status" rgroup.long 0x164++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_5,CM IRQ160~191 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_160_191,IRQ status" rgroup.long 0x168++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_6,CM IRQ192~223 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_192_223,IRQ status" rgroup.long 0x16C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_7,CM IRQ224~255 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,IRQ status" rgroup.long 0x190++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_STAT,CM non-irq wakeup status" bitfld.long 0x00 1. "DEBUG_WAKEUP_STAT,Debug wakeup status" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_STAT,Event wakeup status" "0,1" group.long 0x200++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_CTRL,CM sleep A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x204++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_STAT,CM sleep A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x208++0x03 line.long 0x00 "CM_SLEEP_SSAR_CTRL,CM sleep SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x20C++0x03 line.long 0x00 "CM_SLEEP_SSAR_STAT,CM sleep SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x210++0x03 line.long 0x00 "CM_SLEEP_LPCG_CTRL,CM sleep LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x214++0x03 line.long 0x00 "CM_SLEEP_LPCG_STAT,CM sleep LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x218++0x03 line.long 0x00 "CM_SLEEP_PLL_CTRL,CM sleep PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x21C++0x03 line.long 0x00 "CM_SLEEP_PLL_STAT,CM sleep PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x220++0x03 line.long 0x00 "CM_SLEEP_ISO_CTRL,CM sleep isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x224++0x03 line.long 0x00 "CM_SLEEP_ISO_STAT,CM sleep isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x228++0x03 line.long 0x00 "CM_SLEEP_MEM_CTRL,CM sleep memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x22C++0x03 line.long 0x00 "CM_SLEEP_MEM_STAT,CM sleep memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x230++0x03 line.long 0x00 "CM_SLEEP_RESET_CTRL,CM sleep reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x234++0x03 line.long 0x00 "CM_SLEEP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x238++0x03 line.long 0x00 "CM_SLEEP_POWER_CTRL,CM sleep power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x23C++0x03 line.long 0x00 "CM_SLEEP_POWER_STAT,CM sleep power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x240++0x03 line.long 0x00 "CM_SLEEP_RSV2_CTRL,CM sleep rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x244++0x03 line.long 0x00 "CM_SLEEP_RSV2_STAT,CM sleep rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x288++0x03 line.long 0x00 "CM_WAKEUP_RSV2_CTRL,CM wakeup rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x28C++0x03 line.long 0x00 "CM_WAKEUP_RSV2_STAT,CM wakeup rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x290++0x03 line.long 0x00 "CM_WAKEUP_POWER_CTRL,CM wakeup power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x294++0x03 line.long 0x00 "CM_WAKEUP_POWER_STAT,CM wakeup power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x298++0x03 line.long 0x00 "CM_WAKEUP_MEM_CTRL,CM wakeup memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x29C++0x03 line.long 0x00 "CM_WAKEUP_MEM_STAT,CM wakeup memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A0++0x03 line.long 0x00 "CM_WAKEUP_RESET_CTRL,CM wakeup reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2A4++0x03 line.long 0x00 "CM_WAKEUP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A8++0x03 line.long 0x00 "CM_WAKEUP_ISO_CTRL,CM wakeup isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2AC++0x03 line.long 0x00 "CM_WAKEUP_ISO_STAT,CM wakeup isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B0++0x03 line.long 0x00 "CM_WAKEUP_PLL_CTRL,CM wakeup PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2B4++0x03 line.long 0x00 "CM_WAKEUP_PLL_STAT,CM wakeup PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B8++0x03 line.long 0x00 "CM_WAKEUP_LPCG_CTRL,CM wakeup LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2BC++0x03 line.long 0x00 "CM_WAKEUP_LPCG_STAT,CM wakeup LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C0++0x03 line.long 0x00 "CM_WAKEUP_MTR_CTRL,CM wakeup MTR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2C4++0x03 line.long 0x00 "CM_WAKEUP_MTR_STAT,CM wakeup MTR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C8++0x03 line.long 0x00 "CM_WAKEUP_SSAR_CTRL,CM wakeup SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2CC++0x03 line.long 0x00 "CM_WAKEUP_SSAR_STAT,CM wakeup SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2D0++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_CTRL,CM wakeup A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2D4++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_STAT,CM wakeup A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x380++0x03 line.long 0x00 "CM_SYS_SLEEP_CTRL,CM system sleep control" rbitfld.long 0x00 17. "SYS_WAKEUP_BUSY,Indicate the CPU is busy exiting system sleep mode" "0,1" rbitfld.long 0x00 16. "SYS_SLEEP_BUSY,Indicate the CPU is busy entering system sleep mode" "0,1" newline bitfld.long 0x00 2. "SS_SUSPEND,Requeste system sleep when CPU is in SUSPEND mode" "0,1" bitfld.long 0x00 1. "SS_STOP,Requeste system sleep when CPU is in STOP mode" "0,1" newline bitfld.long 0x00 0. "SS_WAIT,Requeste system sleep when CPU is in WAIT mode" "0,1" group.long 0x390++0x03 line.long 0x00 "CM_DEBUG,CM debug" bitfld.long 0x00 0. "PRETEND_SLEEP,Write 1 to force CMC into sleep used to debug GPC status locked by LOCK_CFG field" "0,1" tree.end repeat.end tree "GPC_CTRL_CA55_CLUSTER" base ad:0x44471800 group.long 0x04++0x03 line.long 0x00 "CM_AUTHEN_CTRL,CM Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_SETTING,Lock NONSECURE and USER" "0,1" bitfld.long 0x00 9. "NONSECURE,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "USER,Allow user mode access" "0,1" bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" group.long 0x0C++0x03 line.long 0x00 "CM_MISC,Miscellaneous" bitfld.long 0x00 6. "SW_WAKEUP,software wakeup just used for cpu hotplug" "0,1" bitfld.long 0x00 5. "IRQ_MUX,irq select" "0: FROM_RAW_IRQ,1: FROM_GIC" newline rbitfld.long 0x00 4. "GIC_WAKEUP_STAT,GIC wakeup request status" "0,1" bitfld.long 0x00 3. "SLEEP_HOLD_UPDATE_VALID,Allow to use the updated cpu_sleep_hold_req logic" "0,1" newline rbitfld.long 0x00 2. "SLEEP_HOLD_STAT,Status of cpu_sleep_hold_ack_b" "0,1" bitfld.long 0x00 1. "SLEEP_HOLD_EN,Allow cpu_sleep_hold_req assert during CPU low power status" "0,1" newline rbitfld.long 0x00 0. "NMI_STAT,Non-masked interrupt status" "0,1" group.long 0x10++0x03 line.long 0x00 "CM_MODE_CTRL,CPU mode control" bitfld.long 0x00 4. "WFE_EN,WFE assertion can be sleep event" "0,1" bitfld.long 0x00 0.--1. "CPU_MODE_TARGET,The CPU mode the CPU platform should transit to on next sleep event" "0: STAY_IN_RUN_MODE,1: Transit to WAIT mode,2: Transit to STOP mode,3: Transit to SUSPEND mode" rgroup.long 0x14++0x03 line.long 0x00 "CM_MODE_STAT,CM CPU mode Status" bitfld.long 0x00 24.--28. "FSM_STATE,CPU mode trans FSM state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18. "WAKEUP_REQUEST,ORed of all unmasked IRQ in" "0,1" newline bitfld.long 0x00 17. "WFE_REQUEST,Status of standby_wfe input port" "0,1" bitfld.long 0x00 16. "SLEEP_REQUEST,Status of sleep_request input port" "0,1" newline bitfld.long 0x00 10. "SLEEPING_IDLE,Completed CPU mode and set point transition of sleep sequence in a sleeping_idle state" "0,1" bitfld.long 0x00 9. "WAKEUP_TRANS_BUSY,Busy on CPU mode transition of wakeup not include set point trans busy" "0,1" newline bitfld.long 0x00 8. "SLEEP_TRANS_BUSY,Busy on CPU mode transition of sleep not include set point trans busy" "0,1" bitfld.long 0x00 2.--3. "CPU_MODE_PREVIOUS,Previous CPU mode" "0,1,2,3" newline bitfld.long 0x00 0.--1. "CPU_MODE_CURRENT,Current CPU mode" "0,1,2,3" rgroup.long 0x18++0x03 line.long 0x00 "CM_PIN_STAT,CM pin Status" bitfld.long 0x00 31. "DEBUG_WAKEUP_ACK_STAT,debug wakeup acknowledge pin status" "0,1" bitfld.long 0x00 29.--30. "CPU_MODE_STAT,cpu_power_mode pin status" "0,1,2,3" newline bitfld.long 0x00 24. "MTR_DONE_STAT,cpu_mode_trans_mtr_done pin status" "0,1" bitfld.long 0x00 23. "POWER_DONE_STAT,cpu_mode_trans_power_done pin status" "0,1" newline bitfld.long 0x00 22. "RESET_DONE_STAT,cpu_mode_trans_reset_done pin status" "0,1" bitfld.long 0x00 21. "MEM_DONE_STAT,cpu_mode_trans_mem_done pin status" "0,1" newline bitfld.long 0x00 20. "ISO_DONE_STAT,cpu_mode_trans_iso_done pin status" "0,1" bitfld.long 0x00 19. "PLL_DONE_STAT,cpu_mode_trans_pll_done pin status" "0,1" newline bitfld.long 0x00 18. "LPCG_DONE_STAT,cpu_mode_trans_lpcg_done pin status" "0,1" bitfld.long 0x00 17. "SSAR_DONE_STAT,cpu_mode_trans_ssar_done pin status" "0,1" newline bitfld.long 0x00 16. "A55_HDSK_DONE_STAT,cpu_mode_trans_a55_hdsk_done pin status" "0,1" bitfld.long 0x00 8. "MTR_REQUEST_STAT,cpu_mode_trans_mtr_request pin status" "0,1" newline bitfld.long 0x00 7. "POWER_REQUEST_STAT,cpu_mode_trans_power_request pin status" "0,1" bitfld.long 0x00 6. "RESET_REQUEST_STAT,cpu_mode_trans_reset_request pin status" "0,1" newline bitfld.long 0x00 5. "MEM_REQUEST_STAT,cpu_mode_trans_mem_request pin status" "0,1" bitfld.long 0x00 4. "ISO_REQUEST_STAT,cpu_mode_trans_iso_request pin status" "0,1" newline bitfld.long 0x00 3. "PLL_REQUEST_STAT,cpu_mode_trans_pll_request pin status" "0,1" bitfld.long 0x00 2. "LPCG_REQUEST_STAT,cpu_mode_trans_lpcg_request pin status" "0,1" newline bitfld.long 0x00 1. "SSAR_REQUEST_STAT,cpu_mode_trans_ssar_request pin status" "0,1" bitfld.long 0x00 0. "A55_HDSK_REQUEST_STAT,cpu_mode_trans_a55_hdsk_request pin status" "0,1" group.long 0x100++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_0,CM IRQ0~31 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_0_31,1 means the IRQ cannot wakeup CPU platform" group.long 0x104++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_1,CM IRQ32~63 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_32_63,1 means the IRQ cannot wakeup CPU platform" group.long 0x108++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_2,CM IRQ64~95 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_64_95,1 means the IRQ cannot wakeup CPU platform" group.long 0x10C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_3,CM IRQ96~127 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_96_127,1 means the IRQ cannot wakeup CPU platform" group.long 0x110++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_4,CM IRQ128~159 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_128_159,1 means the IRQ cannot wakeup CPU platform" group.long 0x114++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_5,CM IRQ160~191 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_160_191,1 means the IRQ cannot wakeup CPU platform" group.long 0x118++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_6,CM IRQ192~223 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_192_223,1 means the IRQ cannot wakeup CPU platform" group.long 0x11C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_7,CM IRQ224~255 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,1 means the IRQ cannot wakeup CPU platform" group.long 0x140++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_MASK,CM non-irq wakeup mask" bitfld.long 0x00 1. "DEBUG_WAKEUP_MASK,1 means the debug_wakeup_request cannot wakeup CPU platform" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_MASK,1 means the event cannot wakeup CPU platform" "0,1" rgroup.long 0x150++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_0,CM IRQ0~31 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_0_31,IRQ status" rgroup.long 0x154++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_1,CM IRQ32~63 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_32_63,IRQ status" rgroup.long 0x158++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_2,CM IRQ64~95 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_64_95,IRQ status" rgroup.long 0x15C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_3,CM IRQ96~127 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_96_127,IRQ status" rgroup.long 0x160++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_4,CM IRQ128~159 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_128_159,IRQ status" rgroup.long 0x164++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_5,CM IRQ160~191 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_160_191,IRQ status" rgroup.long 0x168++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_6,CM IRQ192~223 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_192_223,IRQ status" rgroup.long 0x16C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_7,CM IRQ224~255 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,IRQ status" rgroup.long 0x190++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_STAT,CM non-irq wakeup status" bitfld.long 0x00 1. "DEBUG_WAKEUP_STAT,Debug wakeup status" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_STAT,Event wakeup status" "0,1" group.long 0x200++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_CTRL,CM sleep A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x204++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_STAT,CM sleep A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x208++0x03 line.long 0x00 "CM_SLEEP_SSAR_CTRL,CM sleep SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x20C++0x03 line.long 0x00 "CM_SLEEP_SSAR_STAT,CM sleep SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x210++0x03 line.long 0x00 "CM_SLEEP_LPCG_CTRL,CM sleep LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x214++0x03 line.long 0x00 "CM_SLEEP_LPCG_STAT,CM sleep LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x218++0x03 line.long 0x00 "CM_SLEEP_PLL_CTRL,CM sleep PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x21C++0x03 line.long 0x00 "CM_SLEEP_PLL_STAT,CM sleep PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x220++0x03 line.long 0x00 "CM_SLEEP_ISO_CTRL,CM sleep isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x224++0x03 line.long 0x00 "CM_SLEEP_ISO_STAT,CM sleep isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x228++0x03 line.long 0x00 "CM_SLEEP_MEM_CTRL,CM sleep memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x22C++0x03 line.long 0x00 "CM_SLEEP_MEM_STAT,CM sleep memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x230++0x03 line.long 0x00 "CM_SLEEP_RESET_CTRL,CM sleep reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x234++0x03 line.long 0x00 "CM_SLEEP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x238++0x03 line.long 0x00 "CM_SLEEP_POWER_CTRL,CM sleep power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x23C++0x03 line.long 0x00 "CM_SLEEP_POWER_STAT,CM sleep power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x240++0x03 line.long 0x00 "CM_SLEEP_RSV2_CTRL,CM sleep rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x244++0x03 line.long 0x00 "CM_SLEEP_RSV2_STAT,CM sleep rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x288++0x03 line.long 0x00 "CM_WAKEUP_RSV2_CTRL,CM wakeup rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x28C++0x03 line.long 0x00 "CM_WAKEUP_RSV2_STAT,CM wakeup rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x290++0x03 line.long 0x00 "CM_WAKEUP_POWER_CTRL,CM wakeup power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x294++0x03 line.long 0x00 "CM_WAKEUP_POWER_STAT,CM wakeup power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x298++0x03 line.long 0x00 "CM_WAKEUP_MEM_CTRL,CM wakeup memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x29C++0x03 line.long 0x00 "CM_WAKEUP_MEM_STAT,CM wakeup memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A0++0x03 line.long 0x00 "CM_WAKEUP_RESET_CTRL,CM wakeup reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2A4++0x03 line.long 0x00 "CM_WAKEUP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A8++0x03 line.long 0x00 "CM_WAKEUP_ISO_CTRL,CM wakeup isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2AC++0x03 line.long 0x00 "CM_WAKEUP_ISO_STAT,CM wakeup isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B0++0x03 line.long 0x00 "CM_WAKEUP_PLL_CTRL,CM wakeup PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2B4++0x03 line.long 0x00 "CM_WAKEUP_PLL_STAT,CM wakeup PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B8++0x03 line.long 0x00 "CM_WAKEUP_LPCG_CTRL,CM wakeup LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2BC++0x03 line.long 0x00 "CM_WAKEUP_LPCG_STAT,CM wakeup LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C0++0x03 line.long 0x00 "CM_WAKEUP_MTR_CTRL,CM wakeup MTR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2C4++0x03 line.long 0x00 "CM_WAKEUP_MTR_STAT,CM wakeup MTR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C8++0x03 line.long 0x00 "CM_WAKEUP_SSAR_CTRL,CM wakeup SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2CC++0x03 line.long 0x00 "CM_WAKEUP_SSAR_STAT,CM wakeup SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2D0++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_CTRL,CM wakeup A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2D4++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_STAT,CM wakeup A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x380++0x03 line.long 0x00 "CM_SYS_SLEEP_CTRL,CM system sleep control" rbitfld.long 0x00 17. "SYS_WAKEUP_BUSY,Indicate the CPU is busy exiting system sleep mode" "0,1" rbitfld.long 0x00 16. "SYS_SLEEP_BUSY,Indicate the CPU is busy entering system sleep mode" "0,1" newline bitfld.long 0x00 2. "SS_SUSPEND,Requeste system sleep when CPU is in SUSPEND mode" "0,1" bitfld.long 0x00 1. "SS_STOP,Requeste system sleep when CPU is in STOP mode" "0,1" newline bitfld.long 0x00 0. "SS_WAIT,Requeste system sleep when CPU is in WAIT mode" "0,1" group.long 0x390++0x03 line.long 0x00 "CM_DEBUG,CM debug" bitfld.long 0x00 0. "PRETEND_SLEEP,Write 1 to force CMC into sleep used to debug GPC status locked by LOCK_CFG field" "0,1" tree.end tree "GPC_CTRL_CM33" base ad:0x44470000 group.long 0x04++0x03 line.long 0x00 "CM_AUTHEN_CTRL,CM Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_SETTING,Lock NONSECURE and USER" "0,1" bitfld.long 0x00 9. "NONSECURE,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "USER,Allow user mode access" "0,1" bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" group.long 0x0C++0x03 line.long 0x00 "CM_MISC,Miscellaneous" bitfld.long 0x00 6. "SW_WAKEUP,software wakeup just used for cpu hotplug" "0,1" bitfld.long 0x00 5. "IRQ_MUX,irq select" "0: FROM_RAW_IRQ,1: FROM_GIC" newline rbitfld.long 0x00 4. "GIC_WAKEUP_STAT,GIC wakeup request status" "0,1" bitfld.long 0x00 3. "SLEEP_HOLD_UPDATE_VALID,Allow to use the updated cpu_sleep_hold_req logic" "0,1" newline rbitfld.long 0x00 2. "SLEEP_HOLD_STAT,Status of cpu_sleep_hold_ack_b" "0,1" bitfld.long 0x00 1. "SLEEP_HOLD_EN,Allow cpu_sleep_hold_req assert during CPU low power status" "0,1" newline rbitfld.long 0x00 0. "NMI_STAT,Non-masked interrupt status" "0,1" group.long 0x10++0x03 line.long 0x00 "CM_MODE_CTRL,CPU mode control" bitfld.long 0x00 4. "WFE_EN,WFE assertion can be sleep event" "0,1" bitfld.long 0x00 0.--1. "CPU_MODE_TARGET,The CPU mode the CPU platform should transit to on next sleep event" "0: STAY_IN_RUN_MODE,1: Transit to WAIT mode,2: Transit to STOP mode,3: Transit to SUSPEND mode" rgroup.long 0x14++0x03 line.long 0x00 "CM_MODE_STAT,CM CPU mode Status" bitfld.long 0x00 24.--28. "FSM_STATE,CPU mode trans FSM state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18. "WAKEUP_REQUEST,ORed of all unmasked IRQ in" "0,1" newline bitfld.long 0x00 17. "WFE_REQUEST,Status of standby_wfe input port" "0,1" bitfld.long 0x00 16. "SLEEP_REQUEST,Status of sleep_request input port" "0,1" newline bitfld.long 0x00 10. "SLEEPING_IDLE,Completed CPU mode and set point transition of sleep sequence in a sleeping_idle state" "0,1" bitfld.long 0x00 9. "WAKEUP_TRANS_BUSY,Busy on CPU mode transition of wakeup not include set point trans busy" "0,1" newline bitfld.long 0x00 8. "SLEEP_TRANS_BUSY,Busy on CPU mode transition of sleep not include set point trans busy" "0,1" bitfld.long 0x00 2.--3. "CPU_MODE_PREVIOUS,Previous CPU mode" "0,1,2,3" newline bitfld.long 0x00 0.--1. "CPU_MODE_CURRENT,Current CPU mode" "0,1,2,3" rgroup.long 0x18++0x03 line.long 0x00 "CM_PIN_STAT,CM pin Status" bitfld.long 0x00 31. "DEBUG_WAKEUP_ACK_STAT,debug wakeup acknowledge pin status" "0,1" bitfld.long 0x00 29.--30. "CPU_MODE_STAT,cpu_power_mode pin status" "0,1,2,3" newline bitfld.long 0x00 24. "MTR_DONE_STAT,cpu_mode_trans_mtr_done pin status" "0,1" bitfld.long 0x00 23. "POWER_DONE_STAT,cpu_mode_trans_power_done pin status" "0,1" newline bitfld.long 0x00 22. "RESET_DONE_STAT,cpu_mode_trans_reset_done pin status" "0,1" bitfld.long 0x00 21. "MEM_DONE_STAT,cpu_mode_trans_mem_done pin status" "0,1" newline bitfld.long 0x00 20. "ISO_DONE_STAT,cpu_mode_trans_iso_done pin status" "0,1" bitfld.long 0x00 19. "PLL_DONE_STAT,cpu_mode_trans_pll_done pin status" "0,1" newline bitfld.long 0x00 18. "LPCG_DONE_STAT,cpu_mode_trans_lpcg_done pin status" "0,1" bitfld.long 0x00 17. "SSAR_DONE_STAT,cpu_mode_trans_ssar_done pin status" "0,1" newline bitfld.long 0x00 16. "A55_HDSK_DONE_STAT,cpu_mode_trans_a55_hdsk_done pin status" "0,1" bitfld.long 0x00 8. "MTR_REQUEST_STAT,cpu_mode_trans_mtr_request pin status" "0,1" newline bitfld.long 0x00 7. "POWER_REQUEST_STAT,cpu_mode_trans_power_request pin status" "0,1" bitfld.long 0x00 6. "RESET_REQUEST_STAT,cpu_mode_trans_reset_request pin status" "0,1" newline bitfld.long 0x00 5. "MEM_REQUEST_STAT,cpu_mode_trans_mem_request pin status" "0,1" bitfld.long 0x00 4. "ISO_REQUEST_STAT,cpu_mode_trans_iso_request pin status" "0,1" newline bitfld.long 0x00 3. "PLL_REQUEST_STAT,cpu_mode_trans_pll_request pin status" "0,1" bitfld.long 0x00 2. "LPCG_REQUEST_STAT,cpu_mode_trans_lpcg_request pin status" "0,1" newline bitfld.long 0x00 1. "SSAR_REQUEST_STAT,cpu_mode_trans_ssar_request pin status" "0,1" bitfld.long 0x00 0. "A55_HDSK_REQUEST_STAT,cpu_mode_trans_a55_hdsk_request pin status" "0,1" group.long 0x100++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_0,CM IRQ0~31 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_0_31,1 means the IRQ cannot wakeup CPU platform" group.long 0x104++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_1,CM IRQ32~63 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_32_63,1 means the IRQ cannot wakeup CPU platform" group.long 0x108++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_2,CM IRQ64~95 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_64_95,1 means the IRQ cannot wakeup CPU platform" group.long 0x10C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_3,CM IRQ96~127 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_96_127,1 means the IRQ cannot wakeup CPU platform" group.long 0x110++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_4,CM IRQ128~159 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_128_159,1 means the IRQ cannot wakeup CPU platform" group.long 0x114++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_5,CM IRQ160~191 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_160_191,1 means the IRQ cannot wakeup CPU platform" group.long 0x118++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_6,CM IRQ192~223 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_192_223,1 means the IRQ cannot wakeup CPU platform" group.long 0x11C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_MASK_7,CM IRQ224~255 wakeup mask" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,1 means the IRQ cannot wakeup CPU platform" group.long 0x140++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_MASK,CM non-irq wakeup mask" bitfld.long 0x00 1. "DEBUG_WAKEUP_MASK,1 means the debug_wakeup_request cannot wakeup CPU platform" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_MASK,1 means the event cannot wakeup CPU platform" "0,1" rgroup.long 0x150++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_0,CM IRQ0~31 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_0_31,IRQ status" rgroup.long 0x154++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_1,CM IRQ32~63 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_32_63,IRQ status" rgroup.long 0x158++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_2,CM IRQ64~95 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_64_95,IRQ status" rgroup.long 0x15C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_3,CM IRQ96~127 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_96_127,IRQ status" rgroup.long 0x160++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_4,CM IRQ128~159 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_128_159,IRQ status" rgroup.long 0x164++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_5,CM IRQ160~191 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_160_191,IRQ status" rgroup.long 0x168++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_6,CM IRQ192~223 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_STAT_192_223,IRQ status" rgroup.long 0x16C++0x03 line.long 0x00 "CM_IRQ_WAKEUP_STAT_7,CM IRQ224~255 wakeup status" hexmask.long 0x00 0.--31. 1. "IRQ_WAKEUP_MASK_224_255,IRQ status" rgroup.long 0x190++0x03 line.long 0x00 "CM_NON_IRQ_WAKEUP_STAT,CM non-irq wakeup status" bitfld.long 0x00 1. "DEBUG_WAKEUP_STAT,Debug wakeup status" "0,1" bitfld.long 0x00 0. "EVENT_WAKEUP_STAT,Event wakeup status" "0,1" group.long 0x200++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_CTRL,CM sleep A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x204++0x03 line.long 0x00 "CM_SLEEP_A55_HDSK_STAT,CM sleep A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x208++0x03 line.long 0x00 "CM_SLEEP_SSAR_CTRL,CM sleep SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x20C++0x03 line.long 0x00 "CM_SLEEP_SSAR_STAT,CM sleep SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x210++0x03 line.long 0x00 "CM_SLEEP_LPCG_CTRL,CM sleep LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x214++0x03 line.long 0x00 "CM_SLEEP_LPCG_STAT,CM sleep LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x218++0x03 line.long 0x00 "CM_SLEEP_PLL_CTRL,CM sleep PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x21C++0x03 line.long 0x00 "CM_SLEEP_PLL_STAT,CM sleep PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x220++0x03 line.long 0x00 "CM_SLEEP_ISO_CTRL,CM sleep isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x224++0x03 line.long 0x00 "CM_SLEEP_ISO_STAT,CM sleep isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x228++0x03 line.long 0x00 "CM_SLEEP_MEM_CTRL,CM sleep memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x22C++0x03 line.long 0x00 "CM_SLEEP_MEM_STAT,CM sleep memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x230++0x03 line.long 0x00 "CM_SLEEP_RESET_CTRL,CM sleep reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x234++0x03 line.long 0x00 "CM_SLEEP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x238++0x03 line.long 0x00 "CM_SLEEP_POWER_CTRL,CM sleep power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x23C++0x03 line.long 0x00 "CM_SLEEP_POWER_STAT,CM sleep power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x240++0x03 line.long 0x00 "CM_SLEEP_RSV2_CTRL,CM sleep rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x244++0x03 line.long 0x00 "CM_SLEEP_RSV2_STAT,CM sleep rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x288++0x03 line.long 0x00 "CM_WAKEUP_RSV2_CTRL,CM wakeup rsv2 control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x28C++0x03 line.long 0x00 "CM_WAKEUP_RSV2_STAT,CM wakeup rsv2 status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x290++0x03 line.long 0x00 "CM_WAKEUP_POWER_CTRL,CM wakeup power control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x294++0x03 line.long 0x00 "CM_WAKEUP_POWER_STAT,CM wakeup power status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x298++0x03 line.long 0x00 "CM_WAKEUP_MEM_CTRL,CM wakeup memory control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x29C++0x03 line.long 0x00 "CM_WAKEUP_MEM_STAT,CM wakeup memory status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A0++0x03 line.long 0x00 "CM_WAKEUP_RESET_CTRL,CM wakeup reset control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2A4++0x03 line.long 0x00 "CM_WAKEUP_RESET_STAT,CM sleep reset status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2A8++0x03 line.long 0x00 "CM_WAKEUP_ISO_CTRL,CM wakeup isolation control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2AC++0x03 line.long 0x00 "CM_WAKEUP_ISO_STAT,CM wakeup isolation status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B0++0x03 line.long 0x00 "CM_WAKEUP_PLL_CTRL,CM wakeup PLL control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2B4++0x03 line.long 0x00 "CM_WAKEUP_PLL_STAT,CM wakeup PLL status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2B8++0x03 line.long 0x00 "CM_WAKEUP_LPCG_CTRL,CM wakeup LPCG control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2BC++0x03 line.long 0x00 "CM_WAKEUP_LPCG_STAT,CM wakeup LPCG status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C0++0x03 line.long 0x00 "CM_WAKEUP_MTR_CTRL,CM wakeup MTR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2C4++0x03 line.long 0x00 "CM_WAKEUP_MTR_STAT,CM wakeup MTR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2C8++0x03 line.long 0x00 "CM_WAKEUP_SSAR_CTRL,CM wakeup SSAR control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2CC++0x03 line.long 0x00 "CM_WAKEUP_SSAR_STAT,CM wakeup SSAR status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x2D0++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_CTRL,CM wakeup A55_HDSK control" bitfld.long 0x00 31. "DISABLE,Disable this step" "0,1" bitfld.long 0x00 28.--29. "CNT_MODE,Count mode" "0: Counter disable mode,1: Counter delay mode,2: Ignore step_done response the counter starts..,3: Time out mode the counter starts to count.." newline hexmask.long.tbyte 0x00 0.--23. 1. "STEP_CNT,Step count useage is depending on CNT_MODE" rgroup.long 0x2D4++0x03 line.long 0x00 "CM_WAKEUP_A55_HDSK_STAT,CM wakeup A55_HDSK status" hexmask.long.tbyte 0x00 0.--23. 1. "RSP_CNT,Response count record the delay from step start to step_done received" group.long 0x380++0x03 line.long 0x00 "CM_SYS_SLEEP_CTRL,CM system sleep control" rbitfld.long 0x00 17. "SYS_WAKEUP_BUSY,Indicate the CPU is busy exiting system sleep mode" "0,1" rbitfld.long 0x00 16. "SYS_SLEEP_BUSY,Indicate the CPU is busy entering system sleep mode" "0,1" newline bitfld.long 0x00 2. "SS_SUSPEND,Requeste system sleep when CPU is in SUSPEND mode" "0,1" bitfld.long 0x00 1. "SS_STOP,Requeste system sleep when CPU is in STOP mode" "0,1" newline bitfld.long 0x00 0. "SS_WAIT,Requeste system sleep when CPU is in WAIT mode" "0,1" group.long 0x390++0x03 line.long 0x00 "CM_DEBUG,CM debug" bitfld.long 0x00 0. "PRETEND_SLEEP,Write 1 to force CMC into sleep used to debug GPC status locked by LOCK_CFG field" "0,1" tree.end tree.end tree "GPIO (General Purpose I/O Ports And Peripheral I/O Lines)" repeat 4. (list 1. 2. 3. 4.) (list ad:0x47400000 ad:0x43810000 ad:0x43820000 ad:0x43830000) tree "GPIO$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter Register" bitfld.long 0x00 0.--3. "IRQNUM,Interrupt Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x0C++0x03 line.long 0x00 "LOCK,Lock Register" bitfld.long 0x00 3. "ICNP,Lock ICNP" "0: ICNP register is writable by software in..,1: ICNP register is not writable until the next.." bitfld.long 0x00 2. "PCNP,Lock PCNP" "0: PCNP register is writable by software in..,1: PCNP register is not writable until the next.." newline bitfld.long 0x00 1. "ICNS,Lock ICNS" "0: ICNS register is writable by software in..,1: ICNS register is not writable until the next.." bitfld.long 0x00 0. "PCNS,Lock PCNS" "0: PCNS register is writable by software in..,1: PCNS register is not writable until the next.." group.long 0x10++0x03 line.long 0x00 "PCNS,Pin Control Non-Secure" bitfld.long 0x00 31. "NSE31,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 30. "NSE30,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 29. "NSE29,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 28. "NSE28,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 27. "NSE27,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 26. "NSE26,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 25. "NSE25,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 24. "NSE24,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 23. "NSE23,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 22. "NSE22,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 21. "NSE21,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 20. "NSE20,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 19. "NSE19,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 18. "NSE18,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 17. "NSE17,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 16. "NSE16,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 15. "NSE15,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 14. "NSE14,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 13. "NSE13,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 12. "NSE12,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 11. "NSE11,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 10. "NSE10,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 9. "NSE9,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 8. "NSE8,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 7. "NSE7,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 6. "NSE6,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 5. "NSE5,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 4. "NSE4,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 3. "NSE3,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 2. "NSE2,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" newline bitfld.long 0x00 1. "NSE1,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" bitfld.long 0x00 0. "NSE0,Non-Secure Enable" "0: The pin is configured for secure access,1: The pin is configured for non-secure access" group.long 0x14++0x03 line.long 0x00 "ICNS,Interrupt Control Non-Secure" bitfld.long 0x00 1. "NSE1,Non-Secure Enable" "0: The interrupt/DMA request/trigger output is..,1: The interrupt/DMA request/trigger output is.." bitfld.long 0x00 0. "NSE0,Non-Secure Enable" "0: The interrupt/DMA request/trigger output is..,1: The interrupt/DMA request/trigger output is.." group.long 0x18++0x03 line.long 0x00 "PCNP,Pin Control Non-Privilege" bitfld.long 0x00 31. "NPE31,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 30. "NPE30,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 29. "NPE29,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 28. "NPE28,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 27. "NPE27,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 26. "NPE26,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 25. "NPE25,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 24. "NPE24,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 23. "NPE23,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 22. "NPE22,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 21. "NPE21,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 20. "NPE20,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 19. "NPE19,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 18. "NPE18,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 17. "NPE17,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 16. "NPE16,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 15. "NPE15,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 14. "NPE14,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 13. "NPE13,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 12. "NPE12,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 11. "NPE11,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 10. "NPE10,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 9. "NPE9,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 8. "NPE8,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 7. "NPE7,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 6. "NPE6,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 5. "NPE5,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 4. "NPE4,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 3. "NPE3,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 2. "NPE2,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." newline bitfld.long 0x00 1. "NPE1,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." bitfld.long 0x00 0. "NPE0,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege.." group.long 0x1C++0x03 line.long 0x00 "ICNP,Interrupt Control Non-Privilege" bitfld.long 0x00 1. "NPE1,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege access" bitfld.long 0x00 0. "NPE0,Non-Privilege Enable" "0: The pin is configured for privilege access,1: The pin is configured for non-privilege access" group.long 0x40++0x03 line.long 0x00 "PDOR,Port Data Output Register" bitfld.long 0x00 31. "PDO31,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 30. "PDO30,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 29. "PDO29,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 28. "PDO28,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 27. "PDO27,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 26. "PDO26,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 25. "PDO25,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 24. "PDO24,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 23. "PDO23,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 22. "PDO22,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 21. "PDO21,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 20. "PDO20,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 19. "PDO19,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 18. "PDO18,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 17. "PDO17,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 16. "PDO16,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 15. "PDO15,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 14. "PDO14,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 13. "PDO13,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 12. "PDO12,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 11. "PDO11,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 10. "PDO10,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 9. "PDO9,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 8. "PDO8,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 7. "PDO7,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 6. "PDO6,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 5. "PDO5,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 4. "PDO4,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 3. "PDO3,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 2. "PDO2,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." newline bitfld.long 0x00 1. "PDO1,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." bitfld.long 0x00 0. "PDO0,Port Data Output" "0: Logic level 0 is driven on pin if the pin is..,1: Logic level 1 is driven on pin if the pin is.." group.long 0x44++0x03 line.long 0x00 "PSOR,Port Set Output Register" bitfld.long 0x00 31. "PTSO31,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 30. "PTSO30,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 29. "PTSO29,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 28. "PTSO28,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 27. "PTSO27,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 26. "PTSO26,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 25. "PTSO25,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 24. "PTSO24,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 23. "PTSO23,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 22. "PTSO22,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 21. "PTSO21,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 20. "PTSO20,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 19. "PTSO19,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 18. "PTSO18,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 17. "PTSO17,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 16. "PTSO16,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 15. "PTSO15,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 14. "PTSO14,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 13. "PTSO13,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 12. "PTSO12,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 11. "PTSO11,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 10. "PTSO10,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 9. "PTSO9,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 8. "PTSO8,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 7. "PTSO7,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 6. "PTSO6,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 5. "PTSO5,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 4. "PTSO4,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 3. "PTSO3,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 2. "PTSO2,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 1. "PTSO1,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 0. "PTSO0,Port Set Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." group.long 0x48++0x03 line.long 0x00 "PCOR,Port Clear Output Register" bitfld.long 0x00 31. "PTCO31,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 30. "PTCO30,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 29. "PTCO29,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 28. "PTCO28,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 27. "PTCO27,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 26. "PTCO26,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 25. "PTCO25,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 24. "PTCO24,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 23. "PTCO23,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 22. "PTCO22,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 21. "PTCO21,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 20. "PTCO20,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 19. "PTCO19,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 18. "PTCO18,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 17. "PTCO17,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 16. "PTCO16,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 15. "PTCO15,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 14. "PTCO14,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 13. "PTCO13,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 12. "PTCO12,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 11. "PTCO11,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 10. "PTCO10,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 9. "PTCO9,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 8. "PTCO8,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 7. "PTCO7,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 6. "PTCO6,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 5. "PTCO5,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 4. "PTCO4,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 3. "PTCO3,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 2. "PTCO2,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." newline bitfld.long 0x00 1. "PTCO1,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." bitfld.long 0x00 0. "PTCO0,Port Clear Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is cleared.." group.long 0x4C++0x03 line.long 0x00 "PTOR,Port Toggle Output Register" bitfld.long 0x00 31. "PTTO31,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 30. "PTTO30,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 29. "PTTO29,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 28. "PTTO28,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 27. "PTTO27,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 26. "PTTO26,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 25. "PTTO25,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 24. "PTTO24,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 23. "PTTO23,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 22. "PTTO22,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 21. "PTTO21,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 20. "PTTO20,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 19. "PTTO19,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 18. "PTTO18,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 17. "PTTO17,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 16. "PTTO16,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 15. "PTTO15,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 14. "PTTO14,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 13. "PTTO13,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 12. "PTTO12,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 11. "PTTO11,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 10. "PTTO10,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 9. "PTTO9,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 8. "PTTO8,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 7. "PTTO7,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 6. "PTTO6,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 5. "PTTO5,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 4. "PTTO4,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 3. "PTTO3,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 2. "PTTO2,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." newline bitfld.long 0x00 1. "PTTO1,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." bitfld.long 0x00 0. "PTTO0,Port Toggle Output" "0: Corresponding field of PDOR[PDOn] does not..,1: Corresponding field of PDOR[PDOn] is set to.." rgroup.long 0x50++0x03 line.long 0x00 "PDIR,Port Data Input Register" bitfld.long 0x00 31. "PDI31,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 30. "PDI30,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 29. "PDI29,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 28. "PDI28,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 27. "PDI27,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 26. "PDI26,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 25. "PDI25,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 24. "PDI24,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 23. "PDI23,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 22. "PDI22,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 21. "PDI21,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 20. "PDI20,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 19. "PDI19,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 18. "PDI18,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 17. "PDI17,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 16. "PDI16,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 15. "PDI15,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 14. "PDI14,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 13. "PDI13,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 12. "PDI12,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 11. "PDI11,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 10. "PDI10,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 9. "PDI9,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 8. "PDI8,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 7. "PDI7,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 6. "PDI6,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 5. "PDI5,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 4. "PDI4,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 3. "PDI3,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 2. "PDI2,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" newline bitfld.long 0x00 1. "PDI1,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" bitfld.long 0x00 0. "PDI0,Port Data Input" "0: Pin logic level is logic 0 or is not..,1: Pin logic level is logic 1" group.long 0x54++0x03 line.long 0x00 "PDDR,Port Data Direction Register" bitfld.long 0x00 31. "PDD31,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 30. "PDD30,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 29. "PDD29,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 28. "PDD28,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 27. "PDD27,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 26. "PDD26,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 25. "PDD25,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 24. "PDD24,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 23. "PDD23,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 22. "PDD22,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 21. "PDD21,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 20. "PDD20,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 19. "PDD19,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 18. "PDD18,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 17. "PDD17,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 16. "PDD16,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 15. "PDD15,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 14. "PDD14,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 13. "PDD13,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 12. "PDD12,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 11. "PDD11,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 10. "PDD10,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 9. "PDD9,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 8. "PDD8,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 7. "PDD7,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 6. "PDD6,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 5. "PDD5,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 4. "PDD4,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 3. "PDD3,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 2. "PDD2,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." newline bitfld.long 0x00 1. "PDD1,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." bitfld.long 0x00 0. "PDD0,Port Data Direction" "0: Pin is configured as general-purpose input..,1: Pin is configured as general-purpose output.." group.long 0x58++0x03 line.long 0x00 "PIDR,Port Input Disable Register" bitfld.long 0x00 31. "PID31,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 30. "PID30,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 29. "PID29,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 28. "PID28,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 27. "PID27,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 26. "PID26,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 25. "PID25,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 24. "PID24,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 23. "PID23,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 22. "PID22,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 21. "PID21,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 20. "PID20,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 19. "PID19,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 18. "PID18,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 17. "PID17,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 16. "PID16,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 15. "PID15,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 14. "PID14,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 13. "PID13,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 12. "PID12,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 11. "PID11,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 10. "PID10,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 9. "PID9,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 8. "PID8,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 7. "PID7,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 6. "PID6,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 5. "PID5,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 4. "PID4,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 3. "PID3,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 2. "PID2,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" newline bitfld.long 0x00 1. "PID1,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" bitfld.long 0x00 0. "PID0,Port Input Disable" "0: Pin is configured for General Purpose Input..,1: Pin is disabled for General Purpose Input" repeat 32. (increment 0 1) (increment 0 0x1) group.byte ($2+0x60)++0x00 line.byte 0x00 "PDR[$1],Pin Data Register a $1" bitfld.byte 0x00 0. "PD,Pin Data" "0: Pin logic level is logic zero or not..,1: Pin logic level is logic one" repeat.end repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x80)++0x03 line.long 0x00 "ICR$1,Interrupt Control Register $1" eventfld.long 0x00 24. "ISF,Interrupt Status Flag" "0: Configured interrupt is not detected,1: Configured interrupt is detected" bitfld.long 0x00 23. "LK,Lock Register" "0: Interrupt configuration by ICR[23:0] is not..,1: Interrupt configuration by ICR[23:0] is.." newline bitfld.long 0x00 20. "IRQS,Interrupt Select" "0: Interrupt/DMA request/trigger output 0,1: Interrupt/DMA request/trigger output 1" bitfld.long 0x00 16.--19. "IRQC,Interrupt Configuration" "0: Interrupt Status Flag (ISF) is disabled,1: ISF flag and DMA request on rising edge,2: ISF flag and DMA request on falling edge,3: ISF flag and DMA request on either edge,?,5: ISF flag sets on rising edge,6: ISF flag sets on falling edge,7: ISF flag sets on either edge,8: ISF flag and Interrupt when logic 0,9: ISF flag and Interrupt on rising-edge,10: ISF flag and Interrupt on falling-edge,11: ISF flag and Interrupt on either edge,12: ISF flag and Interrupt when logic 1,13: Enable active high trigger output ISF flag..,14: Enable active low trigger output ISF flag on..,?..." repeat.end repeat 16. (strings "16" "17" "18" "19" "20" "21" "22" "23" "24" "25" "26" "27" "28" "29" "30" "31" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC0)++0x03 line.long 0x00 "ICR$1,Interrupt Control Register $1" eventfld.long 0x00 24. "ISF,Interrupt Status Flag" "0: Configured interrupt is not detected,1: Configured interrupt is detected" bitfld.long 0x00 23. "LK,Lock Register" "0: Interrupt configuration by ICR[23:0] is not..,1: Interrupt configuration by ICR[23:0] is.." newline bitfld.long 0x00 20. "IRQS,Interrupt Select" "0: Interrupt/DMA request/trigger output 0,1: Interrupt/DMA request/trigger output 1" bitfld.long 0x00 16.--19. "IRQC,Interrupt Configuration" "0: Interrupt Status Flag (ISF) is disabled,1: ISF flag and DMA request on rising edge,2: ISF flag and DMA request on falling edge,3: ISF flag and DMA request on either edge,?,5: ISF flag sets on rising edge,6: ISF flag sets on falling edge,7: ISF flag sets on either edge,8: ISF flag and Interrupt when logic 0,9: ISF flag and Interrupt on rising-edge,10: ISF flag and Interrupt on falling-edge,11: ISF flag and Interrupt on either edge,12: ISF flag and Interrupt when logic 1,13: Enable active high trigger output ISF flag..,14: Enable active low trigger output ISF flag on..,?..." repeat.end group.long 0x100++0x03 line.long 0x00 "GICLR,Global Interrupt Control Low Register" hexmask.long.word 0x00 16.--31. 1. "GIWD,Global Interrupt Write Data" bitfld.long 0x00 15. "GIWE15,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 14. "GIWE14,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 13. "GIWE13,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 12. "GIWE12,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 11. "GIWE11,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 10. "GIWE10,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 9. "GIWE9,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 8. "GIWE8,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 7. "GIWE7,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 6. "GIWE6,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 5. "GIWE5,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 4. "GIWE4,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 3. "GIWE3,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 2. "GIWE2,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 1. "GIWE1,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 0. "GIWE0,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." group.long 0x104++0x03 line.long 0x00 "GICHR,Global Interrupt Control High Register" hexmask.long.word 0x00 16.--31. 1. "GIWD,Global Interrupt Write Data" bitfld.long 0x00 15. "GIWE31,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 14. "GIWE30,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 13. "GIWE29,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 12. "GIWE28,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 11. "GIWE27,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 10. "GIWE26,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 9. "GIWE25,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 8. "GIWE24,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 7. "GIWE23,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 6. "GIWE22,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 5. "GIWE21,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 4. "GIWE20,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 3. "GIWE19,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 2. "GIWE18,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." bitfld.long 0x00 1. "GIWE17,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." newline bitfld.long 0x00 0. "GIWE16,Global Interrupt Write Enable" "0: Upper 16-bit of corresponding Interrupt..,1: Upper 16-bit of corresponding Interrupt.." repeat 2. (increment 0 1) (increment 0 0x04) group.long ($2+0x120)++0x03 line.long 0x00 "ISFR[$1],Interrupt Status Flag Register $1" eventfld.long 0x00 31. "ISF31,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 30. "ISF30,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 29. "ISF29,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 28. "ISF28,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 27. "ISF27,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 26. "ISF26,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 25. "ISF25,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 24. "ISF24,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 23. "ISF23,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 22. "ISF22,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 21. "ISF21,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 20. "ISF20,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 19. "ISF19,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 18. "ISF18,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 17. "ISF17,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 16. "ISF16,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 15. "ISF15,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 14. "ISF14,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 13. "ISF13,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 12. "ISF12,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 11. "ISF11,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 10. "ISF10,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 9. "ISF9,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 8. "ISF8,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 7. "ISF7,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 6. "ISF6,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 5. "ISF5,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 4. "ISF4,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 3. "ISF3,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 2. "ISF2,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." newline eventfld.long 0x00 1. "ISF1,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." eventfld.long 0x00 0. "ISF0,Interrupt Status Flag" "0: Configured interrupt is not detected on the..,1: Configured interrupt is detected on the pin.." repeat.end tree.end repeat.end tree.end tree "I3C" repeat 2. (list 1. 2.) (list ad:0x44330000 ad:0x42520000) tree "I3C$1" base $2 group.long 0x00++0x03 line.long 0x00 "MCONFIG,Master Configuration" bitfld.long 0x00 28.--31. "I2CBAUD,I2C baud rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 25.--27. "SKEW,Skew" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24. "ODHPP,Open Drain High Push-Pull" "0,1" hexmask.long.byte 0x00 16.--23. 1. "ODBAUD,Open drain baud rate" newline bitfld.long 0x00 12.--15. "PPLOW,Push-Pull low" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "PPBAUD,Push-pull baud rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 6. "ODSTOP,Open drain stop" "0,1" bitfld.long 0x00 4.--5. "HKEEP,High-Keeper" "0: NONE,1: WIRED_IN,2: PASSIVE_SDA,3: PASSIVE_ON_SDA_SCL" newline bitfld.long 0x00 3. "DISTO,Disable Timeout" "0,1" bitfld.long 0x00 0.--1. "MSTENA,Master enable" "0: MASTER_OFF,1: MASTER_ON,2: MASTER_CAPABLE,?..." group.long 0x04++0x03 line.long 0x00 "SCONFIG,Slave Configuration" hexmask.long.byte 0x00 25.--31. 1. "SADDR,Static address" bitfld.long 0x00 16.--21. "BAMATCH,Bus available match" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 9. "OFFLINE,Offline" "0,1" bitfld.long 0x00 4. "HDROK,HDR OK" "0,1" newline bitfld.long 0x00 3. "S0IGNORE,S0/S1 errors ignore" "0,1" bitfld.long 0x00 2. "MATCHSS,Match START or STOP" "0,1" newline bitfld.long 0x00 1. "NACK,Not acknowledge" "0,1" bitfld.long 0x00 0. "SLVENA,Slave enable" "0,1" group.long 0x08++0x03 line.long 0x00 "SSTATUS,Slave Status" rbitfld.long 0x00 30.--31. "TIMECTRL,Time control" "0: NO_TIME_CONTROL,?,2: ASYNC_MODE,?..." rbitfld.long 0x00 28.--29. "ACTSTATE,Activity state from Common Command Codes (CCC)" "0: NO_LATENCY,1: LATENCY_1MS,2: LATENCY_100MS,3: LATENCY_10S" newline rbitfld.long 0x00 27. "HJDIS,Hot-Join is disabled" "0,1" rbitfld.long 0x00 25. "MRDIS,Master requests are disabled" "0,1" newline rbitfld.long 0x00 24. "IBIDIS,In-Band Interrupts are disabled" "0,1" rbitfld.long 0x00 20.--21. "EVDET,Event details" "0: NONE,1: NO_REQUEST,2: NACKED,3: ACKED" newline eventfld.long 0x00 19. "SLVRST,Slave Reset" "0,1" eventfld.long 0x00 18. "EVENT,Event" "0,1" newline eventfld.long 0x00 17. "CHANDLED,Common Command Code handled" "0,1" eventfld.long 0x00 16. "HDRMATCH,High Data Rate command match" "0,1" newline rbitfld.long 0x00 15. "ERRWARN,Error warning" "0,1" eventfld.long 0x00 14. "CCC,Common Command Code" "0,1" newline eventfld.long 0x00 13. "DACHG,DACHG" "0,1" rbitfld.long 0x00 12. "TXNOTFULL,Transmit buffer is not full" "0,1" newline rbitfld.long 0x00 11. "RX_PEND,Received message pending" "0,1" eventfld.long 0x00 10. "STOP,Stop" "0,1" newline eventfld.long 0x00 9. "MATCHED,Matched" "0,1" eventfld.long 0x00 8. "START,Start" "0,1" newline rbitfld.long 0x00 6. "STHDR,Status High Data Rate" "0,1" rbitfld.long 0x00 5. "STDAA,Status Dynamic Address Assignment" "0,1" newline rbitfld.long 0x00 4. "STREQWR,Status request" "0,1" rbitfld.long 0x00 3. "STREQRD,Status request" "0,1" newline rbitfld.long 0x00 2. "STCCCH,Status Common Command Code Handler" "0,1" rbitfld.long 0x00 1. "STMSG,Status message" "0,1" newline rbitfld.long 0x00 0. "STNOTSTOP,Status not stop" "0,1" group.long 0x0C++0x03 line.long 0x00 "SCTRL,Slave Control" hexmask.long.byte 0x00 24.--31. 1. "VENDINFO,Vendor information" bitfld.long 0x00 20.--21. "ACTSTATE,Activity state (of slave)" "0,1,2,3" newline bitfld.long 0x00 16.--19. "PENDINT,Pending interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "IBIDATA,In-Band Interrupt data" newline bitfld.long 0x00 4. "MAPIDX,Map Index" "0,1" bitfld.long 0x00 3. "EXTDATA,Extended Data" "0,1" newline bitfld.long 0x00 0.--1. "EVENT,EVENT" "0: NORMAL_MODE,1: IBI,2: MASTER_REQUEST,3: HOT_JOIN_REQUEST" group.long 0x10++0x03 line.long 0x00 "SINTSET,Slave Interrupt Set" bitfld.long 0x00 19. "SLVRST,Slave Reset" "0,1" bitfld.long 0x00 18. "EVENT,Event interrupt enable" "0,1" newline bitfld.long 0x00 17. "CHANDLED,Common Command Code (CCC) (that was handled by I3C module) interrupt enable" "0,1" bitfld.long 0x00 16. "DDRMATCHED,Double Data Rate (DDR) interrupt enable" "0,1" newline bitfld.long 0x00 15. "ERRWARN,Error/warning interrupt enable" "0,1" bitfld.long 0x00 14. "CCC,Common Command Code (CCC) (that was not handled by I3C module) interrupt enable" "0,1" newline bitfld.long 0x00 13. "DACHG,Dynamic address change interrupt enable" "0,1" bitfld.long 0x00 12. "TXSEND,Transmit interrupt enable" "0,1" newline bitfld.long 0x00 11. "RXPEND,Receive interrupt enable" "0,1" bitfld.long 0x00 10. "STOP,Stop interrupt enable" "0,1" newline bitfld.long 0x00 9. "MATCHED,Match interrupt enable" "0,1" bitfld.long 0x00 8. "START,Start interrupt enable" "0,1" group.long 0x14++0x03 line.long 0x00 "SINTCLR,Slave Interrupt Clear" eventfld.long 0x00 19. "SLVRST,Slave Reset" "0,1" eventfld.long 0x00 18. "EVENT,EVENT interrupt enable clear" "0,1" newline eventfld.long 0x00 17. "CHANDLED,CHANDLED interrupt enable clear" "0,1" eventfld.long 0x00 16. "DDRMATCHED,DDRMATCHED interrupt enable clear" "0,1" newline eventfld.long 0x00 15. "ERRWARN,ERRWARN interrupt enable clear" "0,1" eventfld.long 0x00 14. "CCC,CCC interrupt enable clear" "0,1" newline eventfld.long 0x00 13. "DACHG,DACHG interrupt enable clear" "0,1" eventfld.long 0x00 12. "TXSEND,TXSEND interrupt enable clear" "0,1" newline eventfld.long 0x00 11. "RXPEND,RXPEND interrupt enable clear" "0,1" eventfld.long 0x00 10. "STOP,STOP interrupt enable clear" "0,1" newline eventfld.long 0x00 9. "MATCHED,MATCHED interrupt enable clear" "0,1" eventfld.long 0x00 8. "START,START interrupt enable clear" "0,1" rgroup.long 0x18++0x03 line.long 0x00 "SINTMASKED,Slave Interrupt Mask" bitfld.long 0x00 18. "EVENT,EVENT interrupt mask" "0,1" bitfld.long 0x00 17. "CHANDLED,CHANDLED interrupt mask" "0,1" newline bitfld.long 0x00 16. "DDRMATCHED,DDRMATCHED interrupt mask" "0,1" bitfld.long 0x00 15. "ERRWARN,ERRWARN interrupt mask" "0,1" newline bitfld.long 0x00 14. "CCC,CCC interrupt mask" "0,1" bitfld.long 0x00 13. "DACHG,DACHG interrupt mask" "0,1" newline bitfld.long 0x00 12. "TXSEND,TXSEND interrupt mask" "0,1" bitfld.long 0x00 11. "RXPEND,RXPEND interrupt mask" "0,1" newline bitfld.long 0x00 10. "STOP,STOP interrupt mask" "0,1" bitfld.long 0x00 9. "MATCHED,MATCHED interrupt mask" "0,1" newline bitfld.long 0x00 8. "START,START interrupt mask" "0,1" group.long 0x1C++0x03 line.long 0x00 "SERRWARN,Slave Errors and Warnings" eventfld.long 0x00 17. "OWRITE,Over-write error" "0,1" eventfld.long 0x00 16. "OREAD,Over-read error" "0,1" newline eventfld.long 0x00 11. "S0S1,S0 or S1 error" "0,1" eventfld.long 0x00 10. "HCRC,HDR-DDR CRC error" "0,1" newline eventfld.long 0x00 9. "HPAR,HDR parity error" "0,1" eventfld.long 0x00 8. "SPAR,SDR parity error" "0,1" newline eventfld.long 0x00 4. "INVSTART,Invalid start error" "0,1" eventfld.long 0x00 3. "TERM,Terminated error" "0,1" newline eventfld.long 0x00 2. "URUNNACK,Underrun and Not Acknowledged (NACKed) error" "0,1" eventfld.long 0x00 1. "URUN,Underrun error" "0,1" newline eventfld.long 0x00 0. "ORUN,Overrun error" "0,1" group.long 0x20++0x03 line.long 0x00 "SDMACTRL,Slave DMA Control" bitfld.long 0x00 4.--5. "DMAWIDTH,Width of DMA operations" "0: BYTE Default = 1,1: BYTE Default = 1,2: HALF_WORD,?..." bitfld.long 0x00 2.--3. "DMATB,DMA Write (To-bus) trigger" "0: NOT_USED,1: ENABLE_ONE_FRAME,2: ENABLE,?..." newline bitfld.long 0x00 0.--1. "DMAFB,DMA Read (From-bus) trigger" "0: DMA not used,1: DMA is enabled for 1 frame,2: DMA enable,?..." group.long 0x2C++0x03 line.long 0x00 "SDATACTRL,Slave Data Control" rbitfld.long 0x00 31. "RXEMPTY,RX is empty" "0: RX is not empty,1: RX is empty" rbitfld.long 0x00 30. "TXFULL,TX is full" "0: TX is not full,1: TX is full" newline rbitfld.long 0x00 24.--28. "RXCOUNT,Count of bytes in RX" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 16.--20. "TXCOUNT,Count of bytes in TX" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--7. "RXTRIG,Trigger level for RX FIFO fullness" "0: Trigger on not empty,1: Trigger on or more full,2: Trigger on .5 or more full,3: Trigger on 3/4 or more full" bitfld.long 0x00 4.--5. "TXTRIG,Trigger level for TX FIFO emptiness" "0: Trigger on empty,1: Trigger on full or less,2: Trigger on .5 full or less,3: Trigger on 1 less than full or less (Default)" newline bitfld.long 0x00 3. "UNLOCK,Unlock" "0,1" bitfld.long 0x00 1. "FLUSHFB,Flushes the from-bus buffer/FIFO" "0,1" newline bitfld.long 0x00 0. "FLUSHTB,Flush the to-bus buffer/FIFO" "0,1" wgroup.long 0x30++0x03 line.long 0x00 "SWDATAB,Slave Write Data Byte" bitfld.long 0x00 16. "END_ALSO,End also" "0,1" bitfld.long 0x00 8. "END,End" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "DATA,The data byte to send to the master" wgroup.long 0x34++0x03 line.long 0x00 "SWDATABE,Slave Write Data Byte End" hexmask.long.byte 0x00 0.--7. 1. "DATA,The data byte to send to the master" wgroup.long 0x38++0x03 line.long 0x00 "SWDATAH,Slave Write Data Half-word" bitfld.long 0x00 16. "END,End of message" "0,1" hexmask.long.byte 0x00 8.--15. 1. "DATA1,The 2nd byte to send to the master" newline hexmask.long.byte 0x00 0.--7. 1. "DATA0,The 1st byte to send to the master" wgroup.long 0x3C++0x03 line.long 0x00 "SWDATAHE,Slave Write Data Half-word End" hexmask.long.byte 0x00 8.--15. 1. "DATA1,The 2nd byte to send to the master" hexmask.long.byte 0x00 0.--7. 1. "DATA0,The 1st byte to send to the master" rgroup.long 0x40++0x03 line.long 0x00 "SRDATAB,Slave Read Data Byte" hexmask.long.byte 0x00 0.--7. 1. "DATA0,Byte read from the master" rgroup.long 0x48++0x03 line.long 0x00 "SRDATAH,Slave Read Data Half-word" hexmask.long.byte 0x00 8.--15. 1. "MSB,The 2nd byte read from the slave" hexmask.long.byte 0x00 0.--7. 1. "LSB,The 1st byte read from the slave" rgroup.long 0x5C++0x03 line.long 0x00 "SCAPABILITIES2,Slave Capabilities 2" bitfld.long 0x00 23. "SSTWR,Slave-Slave(s)-Tunnel write capable" "0,1" bitfld.long 0x00 22. "SSTSUB,Slave-Slave(s)-Tunnel subscriber capable" "0,1" newline bitfld.long 0x00 21. "AASA,Supports SETAASA" "0,1" bitfld.long 0x00 18.--19. "GROUP,GROUP" "0: Does not supports v1.1 Group addressing,1: Supports one group,2: Supports two groups,3: Supports three groups" newline bitfld.long 0x00 17. "SLVRST,Slave Reset" "0: Does not support Slave Reset,1: Supports Slave Reset" bitfld.long 0x00 16. "V1_1,Version 1.1" "0: Does not support GETCAPS,1: Supports GETCAPS" newline bitfld.long 0x00 9. "IBIXREG,In-Band Interrupt Extended Register" "0: Does not support IBIXREG,1: Supports IBIXREG" bitfld.long 0x00 8. "IBIEXT,In-Band Interrupt EXTDATA" "0: Does not support IBIEXT,1: Supports IBIEXT" newline bitfld.long 0x00 6. "I2CDEVID,I2C Device ID" "0: Does not support I2CDEVID,1: Supports I2CDEVID" bitfld.long 0x00 5. "I2CRST,I2C SW Reset" "0: Does not support I2CRST,1: Supports I2CRST" newline bitfld.long 0x00 4. "I2C10B,I2C 10-bit Address" "0: Does not support I2C10B,1: Supports I2C10B" bitfld.long 0x00 0.--3. "MAPCNT,Map Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x60++0x03 line.long 0x00 "SCAPABILITIES,Slave Capabilities" bitfld.long 0x00 31. "DMA,DMA" "0: DMA is not supported,1: DMA is supported" bitfld.long 0x00 30. "INT,INT" "0: Interrupts are not supported,1: Interrupts are supported" newline bitfld.long 0x00 28.--29. "FIFORX,FIFO receive" "0: FIFO_2BYTE,1: FIFO_4BYTE,2: FIFO_8BYTE,3: FIFO_16BYTE" bitfld.long 0x00 26.--27. "FIFOTX,FIFO transmit" "0: FIFO_2BYTE,1: FIFO_4BYTE,2: FIFO_8BYTE,3: FIFO_16BYTE" newline bitfld.long 0x00 23.--25. "EXTFIFO,External FIFO" "0: NO_EXT_FIFO,1: STD_EXT_FIFO,2: REQUEST_EXT_FIFO,?..." bitfld.long 0x00 21. "TIMECTRL,Time control" "0: NO_TIME_CONTROL_TYPE,1: ATLEAST1_TIME_CONTROL" newline bitfld.long 0x00 16.--20. "IBI_MR_HJ,In-Band Interrupts Master Requests Hot Join events" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--15. "CCCHANDLE,Common Command Codes (CCC) handling" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10.--11. "SADDR,Static address" "0: NO_STATIC,1: STATIC,2: HW_CONTROL,3: CONFIG" bitfld.long 0x00 9. "MASTER,Master" "0: MASTERNOTSUPPORTED,1: MASTERSUPPORTED" newline bitfld.long 0x00 6.--7. "HDRSUPP,HDR support" "0,1,2,3" bitfld.long 0x00 2.--5. "IDREG,ID register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--1. "IDENA,ID 48b handler" "0: APPLICATION,1: HW,2: HW_BUT,3: PARTNO" group.long 0x68++0x03 line.long 0x00 "SMAXLIMITS,Slave Maximum Limits" hexmask.long.word 0x00 16.--27. 1. "MAXWR,Maximum write length" hexmask.long.word 0x00 0.--11. 1. "MAXRD,Maximum read length" group.long 0x6C++0x03 line.long 0x00 "SIDPARTNO,Slave ID Part Number" hexmask.long 0x00 0.--31. 1. "PARTNO,Part number" group.long 0x70++0x03 line.long 0x00 "SIDEXT,Slave ID Extension" hexmask.long.byte 0x00 16.--23. 1. "BCR,Bus Characteristics Register" hexmask.long.byte 0x00 8.--15. 1. "DCR,Device Characteristic Register" group.long 0x74++0x03 line.long 0x00 "SVENDORID,Slave Vendor ID" hexmask.long.word 0x00 0.--14. 1. "VID,Vendor ID" group.long 0x78++0x03 line.long 0x00 "STCCLOCK,Slave Time Control Clock" hexmask.long.byte 0x00 8.--15. 1. "FREQ,Clock frequency" hexmask.long.byte 0x00 0.--7. 1. "ACCURACY,Clock accuracy" rgroup.long 0x7C++0x03 line.long 0x00 "SMSGMAPADDR,Slave Message Map Address" bitfld.long 0x00 16.--19. "MAPLASTM2,Matched Previous Index 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "MAPLASTM1,Matched Previous Address Index 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "LASTSTATIC,Last Static Address Matched" "0,1" bitfld.long 0x00 0.--3. "MAPLAST,Matched Address Index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x80++0x03 line.long 0x00 "MCONFIG_EXT,Master Extended Configuration" bitfld.long 0x00 18.--19. "I3C_CASR_DEL,I3C CAS Delay After Repeated START" "0: NO_DELAY,1: Increases SCL clock period by 1/2,2: Increases SCL clock period by 1,3: Increases SCL clock period by 1 1/2" bitfld.long 0x00 16.--17. "I3C_CAS_DEL,I3C CAS Delay after START" "0: NO_DELAY,1: Increases SCL clock period by 1/2,2: Increases SCL clock period by 1,3: Increases SCL clock period by 1 1/2" newline bitfld.long 0x00 9.--11. "I2C_A10BEXT,I2C_A10BEXT" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8. "I2C_A10B,I2C_A10B" "0: DISABLED,1: Enabled for 10-bit addressing" newline bitfld.long 0x00 4. "I2CHS,I2C HS" "0,1" bitfld.long 0x00 0.--3. "I2CBLOW,I2C BAUD Low" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x84++0x03 line.long 0x00 "MCTRL,Master Main Control" hexmask.long.byte 0x00 16.--23. 1. "RDTERM,Read terminate" hexmask.long.byte 0x00 9.--15. 1. "ADDR,Address" newline bitfld.long 0x00 8. "DIR,DIR" "0: DIR,1: DIRREAD" bitfld.long 0x00 6.--7. "IBIRESP,In-Band Interrupt (IBI) response" "0: ACK,1: NACK,2: ACK_WITH_MANDATORY,3: MANUAL" newline bitfld.long 0x00 4.--5. "TYPE,Bus type with EmitStartAddr" "0: I3C,1: I2C,2: DDR,?..." bitfld.long 0x00 0.--2. "REQUEST,Request" "0: NONE,1: EMITSTARTADDR,2: EMITSTOP,3: IBIACKNACK,4: PROCESSDAA,?,6: FORCEEXIT and SLAVERESET,7: AUTOIBI" group.long 0x88++0x03 line.long 0x00 "MSTATUS,Master Status" hexmask.long.byte 0x00 24.--30. 1. "IBIADDR,IBI address" eventfld.long 0x00 19. "NOWMASTER,Now master (now this module is a master)" "0,1" newline rbitfld.long 0x00 15. "ERRWARN,Error or warning" "0,1" eventfld.long 0x00 13. "IBIWON,In-Band Interrupt (IBI) won" "0,1" newline rbitfld.long 0x00 12. "TXNOTFULL,TX buffer/FIFO not yet full" "0,1" rbitfld.long 0x00 11. "RXPEND,RXPEND" "0,1" newline eventfld.long 0x00 10. "COMPLETE,COMPLETE" "0,1" eventfld.long 0x00 9. "MCTRLDONE,Master control done" "0,1" newline eventfld.long 0x00 8. "SLVSTART,Slave start" "0,1" rbitfld.long 0x00 6.--7. "IBITYPE,In-Band Interrupt (IBI) type" "0: NONE,1: IBI,2: MR,3: HJ" newline rbitfld.long 0x00 5. "NACKED,Not acknowledged" "0,1" rbitfld.long 0x00 4. "BETWEEN,Between" "0: INACTIVE,1: ACTIVE" newline rbitfld.long 0x00 0.--2. "STATE,State of the master" "0: IDLE,1: SLVREQ,2: MSGSDR,3: NORMACT,4: MSGDDR,5: DAA,6: IBIACK,7: IBIRCV" group.long 0x8C++0x03 line.long 0x00 "MIBIRULES,Master In-band Interrupt Registry and Rules" bitfld.long 0x00 31. "NOBYTE,No IBI byte" "0,1" bitfld.long 0x00 30. "MSB0,Set Most Significant address Bit to 0" "0,1" newline bitfld.long 0x00 24.--29. "ADDR4,ADDR4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 18.--23. "ADDR3,ADDR3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 12.--17. "ADDR2,ADDR2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 6.--11. "ADDR1,ADDR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. "ADDR0,ADDR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x90++0x03 line.long 0x00 "MINTSET,Master Interrupt Set" bitfld.long 0x00 19. "NOWMASTER,Now master (now this I3C module is a master) interrupt enable" "0,1" bitfld.long 0x00 15. "ERRWARN,Error or warning (ERRWARN) interrupt enable" "0,1" newline bitfld.long 0x00 13. "IBIWON,In-Band Interrupt (IBI) won interrupt enable" "0,1" bitfld.long 0x00 12. "TXNOTFULL,TX buffer/FIFO is not full interrupt enable" "0,1" newline bitfld.long 0x00 11. "RXPEND,RX pending interrupt enable" "0,1" bitfld.long 0x00 10. "COMPLETE,Completed message interrupt enable" "0,1" newline bitfld.long 0x00 9. "MCTRLDONE,Master control done interrupt enable" "0,1" bitfld.long 0x00 8. "SLVSTART,Slave start interrupt enable" "0,1" group.long 0x94++0x03 line.long 0x00 "MINTCLR,Master Interrupt Clear" eventfld.long 0x00 19. "NOWMASTER,NOWMASTER interrupt enable clear" "0,1" eventfld.long 0x00 15. "ERRWARN,ERRWARN interrupt enable clear" "0,1" newline eventfld.long 0x00 13. "IBIWON,IBIWON interrupt enable clear" "0,1" eventfld.long 0x00 12. "TXNOTFULL,TXNOTFULL interrupt enable clear" "0,1" newline eventfld.long 0x00 11. "RXPEND,RXPEND interrupt enable clear" "0,1" eventfld.long 0x00 10. "COMPLETE,COMPLETE interrupt enable clear" "0,1" newline eventfld.long 0x00 9. "MCTRLDONE,MCTRLDONE interrupt enable clear" "0,1" eventfld.long 0x00 8. "SLVSTART,SLVSTART interrupt enable clear" "0,1" rgroup.long 0x98++0x03 line.long 0x00 "MINTMASKED,Master Interrupt Mask" bitfld.long 0x00 19. "NOWMASTER,NOWMASTER interrupt mask" "0,1" bitfld.long 0x00 15. "ERRWARN,ERRWARN interrupt mask" "0,1" newline bitfld.long 0x00 13. "IBIWON,IBIWON interrupt mask" "0,1" bitfld.long 0x00 12. "TXNOTFULL,TXNOTFULL interrupt mask" "0,1" newline bitfld.long 0x00 11. "RXPEND,RXPEND interrupt mask" "0,1" bitfld.long 0x00 10. "COMPLETE,COMPLETE interrupt mask" "0,1" newline bitfld.long 0x00 9. "MCTRLDONE,MCTRLDONE interrupt mask" "0,1" bitfld.long 0x00 8. "SLVSTART,SLVSTART interrupt mask" "0,1" group.long 0x9C++0x03 line.long 0x00 "MERRWARN,Master Errors and Warnings" eventfld.long 0x00 20. "TIMEOUT,TIMEOUT error" "0,1" eventfld.long 0x00 19. "INVREQ,Invalid request error" "0,1" newline eventfld.long 0x00 18. "MSGERR,Message error" "0,1" eventfld.long 0x00 17. "OWRITE,Over-write error" "0,1" newline eventfld.long 0x00 16. "OREAD,Over-read error" "0,1" eventfld.long 0x00 10. "HCRC,High data rate CRC error" "0,1" newline eventfld.long 0x00 9. "HPAR,High data rate parity" "0,1" eventfld.long 0x00 4. "TERM,Terminate error" "0,1" newline eventfld.long 0x00 3. "WRABT,WRABT (Write abort) error" "0,1" eventfld.long 0x00 2. "NACK,Not acknowledge (NACK) error" "0,1" group.long 0xA0++0x03 line.long 0x00 "MDMACTRL,Master DMA Control" bitfld.long 0x00 4.--5. "DMAWIDTH,DMA width" "0: BYTE_0,1: BYTE_1,2: HALF_WORD,?..." bitfld.long 0x00 2.--3. "DMATB,DMA to bus" "0: NOT_USED,1: ENABLE_ONE_FRAME,2: ENABLE,?..." newline bitfld.long 0x00 0.--1. "DMAFB,DMA from bus" "0: NOT_USED,1: ENABLE_ONE_FRAME,2: ENABLE,?..." group.long 0xAC++0x03 line.long 0x00 "MDATACTRL,Master Data Control" rbitfld.long 0x00 31. "RXEMPTY,RX is empty" "0: RX is not yet empty,1: RX is empty" rbitfld.long 0x00 30. "TXFULL,TX is full" "0: TX is not yet full,1: TX is full" newline rbitfld.long 0x00 24.--28. "RXCOUNT,RX byte count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 16.--20. "TXCOUNT,TX byte count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 6.--7. "RXTRIG,RX trigger level" "0: Trigger on not empty,1: Trigger on 1/4 full or more,2: Trigger on 1/2 full or more,3: Trigger on 3/4 full or more" bitfld.long 0x00 4.--5. "TXTRIG,TX trigger level" "0: Trigger on empty,1: Trigger on 1/4 full or less,2: Trigger on 1/2 full or less,3: Default" newline bitfld.long 0x00 3. "UNLOCK,Unlock" "0,1" bitfld.long 0x00 1. "FLUSHFB,Flush from-bus buffer/FIFO" "0,1" newline bitfld.long 0x00 0. "FLUSHTB,Flush to-bus buffer/FIFO" "0,1" wgroup.long 0xB0++0x03 line.long 0x00 "MWDATAB,Master Write Data Byte" bitfld.long 0x00 16. "END_ALSO,End of message also" "0,1" bitfld.long 0x00 8. "END,End of message" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "VALUE,Data byte" wgroup.long 0xB4++0x03 line.long 0x00 "MWDATABE,Master Write Data Byte End" hexmask.long.byte 0x00 0.--7. 1. "VALUE,Data" wgroup.long 0xB8++0x03 line.long 0x00 "MWDATAH,Master Write Data Half-word" bitfld.long 0x00 16. "END,End of message" "0,1" hexmask.long.byte 0x00 8.--15. 1. "DATA1,Data byte 1" newline hexmask.long.byte 0x00 0.--7. 1. "DATA0,Data byte 0" wgroup.long 0xBC++0x03 line.long 0x00 "MWDATAHE,Master Write Data Byte End" hexmask.long.byte 0x00 8.--15. 1. "DATA1,DATA 1" hexmask.long.byte 0x00 0.--7. 1. "DATA0,DATA 0" rgroup.long 0xC0++0x03 line.long 0x00 "MRDATAB,Master Read Data Byte" hexmask.long.byte 0x00 0.--7. 1. "VALUE,VALUE" rgroup.long 0xC8++0x03 line.long 0x00 "MRDATAH,Master Read Data Half-word" hexmask.long.byte 0x00 8.--15. 1. "MSB,MSB" hexmask.long.byte 0x00 0.--7. 1. "LSB,LSB" wgroup.long 0xCC++0x03 line.long 0x00 "MWDATAB1,Byte-only Write Byte Data (to bus)" hexmask.long.byte 0x00 0.--7. 1. "VALUE,Value" wgroup.long 0xD0++0x03 line.long 0x00 "MWMSG_SDR_CONTROL,Master Write Message in SDR mode" bitfld.long 0x00 11.--15. "LEN,Length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. "I2C,I2C" "0: I3C message,1: I2C message" newline bitfld.long 0x00 8. "END,End of SDR message" "0,1" hexmask.long.byte 0x00 1.--7. 1. "ADDR,Address to be written to" newline bitfld.long 0x00 0. "DIR,Direction" "0: ,1: " wgroup.long 0xD0++0x03 line.long 0x00 "MWMSG_SDR_DATA,Master Write Message Data in SDR mode" hexmask.long.word 0x00 0.--15. 1. "DATA16B,Data" rgroup.long 0xD4++0x03 line.long 0x00 "MRMSG_SDR,Master Read Message in SDR mode" hexmask.long.word 0x00 0.--15. 1. "DATA,Data" wgroup.long 0xD8++0x03 line.long 0x00 "MWMSG_DDR_CONTROL,Master Write Message in DDR mode" bitfld.long 0x00 14. "END,End of message" "0,1" hexmask.long.word 0x00 0.--9. 1. "LEN,Length of message" wgroup.long 0xD8++0x03 line.long 0x00 "MWMSG_DDR_DATA,Master Write Message Data in DDR mode" hexmask.long.word 0x00 0.--15. 1. "DATA16B,Data" rgroup.long 0xDC++0x03 line.long 0x00 "MRMSG_DDR,Master Read Message in DDR mode" hexmask.long.word 0x00 0.--15. 1. "DATA,Data" group.long 0xE4++0x03 line.long 0x00 "MDYNADDR,Master Dynamic Address" hexmask.long.byte 0x00 1.--7. 1. "DADDR,Dynamic address" bitfld.long 0x00 0. "DAVALID,Dynamic address valid" "0,1" group.long 0x100++0x03 line.long 0x00 "SRSTACTTIME,Timing Rules for SlaveReset Recovery" hexmask.long.byte 0x00 16.--23. 1. "CUSRSTTIM,Custom Time to Recover" hexmask.long.byte 0x00 8.--15. 1. "SYSRSTTIM,Time to Recover from Chip Reset" newline hexmask.long.byte 0x00 0.--7. 1. "PERRSTTIM,Time to Recover from the I3C peripheral" group.long 0x10C++0x03 line.long 0x00 "SCCCMASK,CCC Mask for Unhandled CCCs" bitfld.long 0x00 6. "VENDD,VENDD" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." bitfld.long 0x00 5. "VENDB,VENDB" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." newline bitfld.long 0x00 4. "MEXTD,MEXTD" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." bitfld.long 0x00 3. "MEXTB,MEXTB" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." newline bitfld.long 0x00 2. "BASEDX,BASEDX" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." bitfld.long 0x00 1. "BASEBX,BASEBX" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." newline bitfld.long 0x00 0. "BASE,Base" "0: Unhandled CCC in given range suppressed,1: Unhandled CCC in given range passed to.." group.long 0x110++0x03 line.long 0x00 "SERRWARNMASK,Mask for SERRWARN reg bits to form status bit" bitfld.long 0x00 11. "S0S1,S0S1 mask" "0,1" bitfld.long 0x00 10. "HCRC,HCRC mask" "0,1" newline bitfld.long 0x00 9. "HPAR,HPAR mask" "0,1" bitfld.long 0x00 8. "SPAR,SPAR mask" "0,1" newline bitfld.long 0x00 4. "INVSTART,INVSTART mask" "0,1" bitfld.long 0x00 3. "TERM,TERM mask" "0,1" newline bitfld.long 0x00 2. "URUNNACK,URUNNACK mask" "0,1" bitfld.long 0x00 1. "URUN,URUN mask" "0,1" newline bitfld.long 0x00 0. "ORUN,ORUN mask" "0,1" rgroup.long 0x11C++0x03 line.long 0x00 "SMAPCTRL0,Map Feature Control 0" bitfld.long 0x00 8.--10. "CAUSE,Cause" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 1.--7. 1. "DA,Dynamic Address" newline bitfld.long 0x00 0. "ENA,Enable" "0,1" group.long 0x120++0x03 line.long 0x00 "SMAPCTRL1,Map Feature Control 1" hexmask.long.byte 0x00 24.--31. 1. "DCR,DCR" bitfld.long 0x00 13. "AUTO,Auto DAA" "0,1" newline bitfld.long 0x00 12. "NACK,No Acknowledge" "0,1" bitfld.long 0x00 9.--11. "SA10B,Static Address 10-bit Extension" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. "MAPSA,MAP Static Address" "0: I3C Dynamic Address,1: Static Address (I2C style)" hexmask.long.byte 0x00 1.--7. 1. "ADDR,Address" newline bitfld.long 0x00 0. "ENA,Enable" "0,1" group.long 0x140++0x03 line.long 0x00 "IBIEXT1,Extended IBI Data 1" hexmask.long.byte 0x00 24.--31. 1. "EXT3,Extra byte 3" hexmask.long.byte 0x00 16.--23. 1. "EXT2,Extra byte 2" newline hexmask.long.byte 0x00 8.--15. 1. "EXT1,Extra byte 1" rbitfld.long 0x00 4.--6. "MAX,Maximum" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. "CNT,Count" "0,1,2,3,4,5,6,7" group.long 0x144++0x03 line.long 0x00 "IBIEXT2,Extended IBI Data 2" hexmask.long.byte 0x00 24.--31. 1. "EXT7,Extra byte 7" hexmask.long.byte 0x00 16.--23. 1. "EXT6,Extra byte 6" newline hexmask.long.byte 0x00 8.--15. 1. "EXT5,Extra byte 5" hexmask.long.byte 0x00 0.--7. 1. "EXT4,Extra byte 4" group.long 0xFF0++0x03 line.long 0x00 "SELFRESET,Self Reset" hexmask.long.tbyte 0x00 8.--31. 1. "KEY,Key" bitfld.long 0x00 0. "RST,Reset" "0: NO_RESET,1: RESET" tree.end repeat.end tree.end tree "IOMUXC (IOMUX Controller)" base ad:0x443C0000 group.long 0x00++0x03 line.long 0x00 "SW_MUX_CTL_PAD_DAP_TDI,SW_MUX_CTL_PAD_DAP_TDI SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad DAP_TDI" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x04++0x03 line.long 0x00 "SW_MUX_CTL_PAD_DAP_TMS_SWDIO,SW_MUX_CTL_PAD_DAP_TMS_SWDIO SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad DAP_TMS_SWDIO" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x08++0x03 line.long 0x00 "SW_MUX_CTL_PAD_DAP_TCLK_SWCLK,SW_MUX_CTL_PAD_DAP_TCLK_SWCLK SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad DAP_TCLK_SWCLK" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x0C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_DAP_TDO_TRACESWO,SW_MUX_CTL_PAD_DAP_TDO_TRACESWO SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad DAP_TDO_TRACESWO" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x10++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO00,SW_MUX_CTL_PAD_GPIO_IO00 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO00" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x14++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO01,SW_MUX_CTL_PAD_GPIO_IO01 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO01" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x18++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO02,SW_MUX_CTL_PAD_GPIO_IO02 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO02" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x1C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO03,SW_MUX_CTL_PAD_GPIO_IO03 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO03" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x20++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO04,SW_MUX_CTL_PAD_GPIO_IO04 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO04" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x24++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO05,SW_MUX_CTL_PAD_GPIO_IO05 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO05" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x28++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO06,SW_MUX_CTL_PAD_GPIO_IO06 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO06" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x2C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO07,SW_MUX_CTL_PAD_GPIO_IO07 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO07" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x30++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO08,SW_MUX_CTL_PAD_GPIO_IO08 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO08" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x34++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO09,SW_MUX_CTL_PAD_GPIO_IO09 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO09" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x38++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO10,SW_MUX_CTL_PAD_GPIO_IO10 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO10" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x3C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO11,SW_MUX_CTL_PAD_GPIO_IO11 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO11" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x40++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO12,SW_MUX_CTL_PAD_GPIO_IO12 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO12" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x44++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO13,SW_MUX_CTL_PAD_GPIO_IO13 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO13" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x48++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO14,SW_MUX_CTL_PAD_GPIO_IO14 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO14" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x4C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO15,SW_MUX_CTL_PAD_GPIO_IO15 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO15" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x50++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO16,SW_MUX_CTL_PAD_GPIO_IO16 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO16" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x54++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO17,SW_MUX_CTL_PAD_GPIO_IO17 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO17" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x58++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO18,SW_MUX_CTL_PAD_GPIO_IO18 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO18" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x5C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO19,SW_MUX_CTL_PAD_GPIO_IO19 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO19" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x60++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO20,SW_MUX_CTL_PAD_GPIO_IO20 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO20" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x64++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO21,SW_MUX_CTL_PAD_GPIO_IO21 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO21" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x68++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO22,SW_MUX_CTL_PAD_GPIO_IO22 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO22" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x6C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO23,SW_MUX_CTL_PAD_GPIO_IO23 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO23" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,?,6: Select mux mode,7: Select mux mode" group.long 0x70++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO24,SW_MUX_CTL_PAD_GPIO_IO24 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO24" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x74++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO25,SW_MUX_CTL_PAD_GPIO_IO25 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO25" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x78++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO26,SW_MUX_CTL_PAD_GPIO_IO26 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO26" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x7C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO27,SW_MUX_CTL_PAD_GPIO_IO27 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO27" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,7: Select mux mode" group.long 0x80++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO28,SW_MUX_CTL_PAD_GPIO_IO28 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO28" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,?,?,?,7: Select mux mode" group.long 0x84++0x03 line.long 0x00 "SW_MUX_CTL_PAD_GPIO_IO29,SW_MUX_CTL_PAD_GPIO_IO29 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad GPIO_IO29" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,?,?,?,7: Select mux mode" group.long 0x88++0x03 line.long 0x00 "SW_MUX_CTL_PAD_CCM_CLKO1,SW_MUX_CTL_PAD_CCM_CLKO1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad CCM_CLKO1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x8C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_CCM_CLKO2,SW_MUX_CTL_PAD_CCM_CLKO2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad CCM_CLKO2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x90++0x03 line.long 0x00 "SW_MUX_CTL_PAD_CCM_CLKO3,SW_MUX_CTL_PAD_CCM_CLKO3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad CCM_CLKO3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x94++0x03 line.long 0x00 "SW_MUX_CTL_PAD_CCM_CLKO4,SW_MUX_CTL_PAD_CCM_CLKO4 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad CCM_CLKO4" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x98++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_MDC,SW_MUX_CTL_PAD_ENET1_MDC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_MDC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x9C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_MDIO,SW_MUX_CTL_PAD_ENET1_MDIO SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_MDIO" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xA0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TD3,SW_MUX_CTL_PAD_ENET1_TD3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TD3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xA4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TD2,SW_MUX_CTL_PAD_ENET1_TD2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TD2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xA8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TD1,SW_MUX_CTL_PAD_ENET1_TD1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TD1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0xAC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TD0,SW_MUX_CTL_PAD_ENET1_TD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xB0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TX_CTL,SW_MUX_CTL_PAD_ENET1_TX_CTL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TX_CTL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xB4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_TXC,SW_MUX_CTL_PAD_ENET1_TXC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_TXC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xB8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RX_CTL,SW_MUX_CTL_PAD_ENET1_RX_CTL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RX_CTL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xBC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RXC,SW_MUX_CTL_PAD_ENET1_RXC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RXC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xC0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RD0,SW_MUX_CTL_PAD_ENET1_RD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xC4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RD1,SW_MUX_CTL_PAD_ENET1_RD1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RD1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xC8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RD2,SW_MUX_CTL_PAD_ENET1_RD2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RD2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xCC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET1_RD3,SW_MUX_CTL_PAD_ENET1_RD3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET1_RD3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0xD0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_MDC,SW_MUX_CTL_PAD_ENET2_MDC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_MDC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xD4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_MDIO,SW_MUX_CTL_PAD_ENET2_MDIO SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_MDIO" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xD8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TD3,SW_MUX_CTL_PAD_ENET2_TD3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TD3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xDC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TD2,SW_MUX_CTL_PAD_ENET2_TD2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TD2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xE0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TD1,SW_MUX_CTL_PAD_ENET2_TD1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TD1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xE4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TD0,SW_MUX_CTL_PAD_ENET2_TD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xE8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TX_CTL,SW_MUX_CTL_PAD_ENET2_TX_CTL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TX_CTL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xEC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_TXC,SW_MUX_CTL_PAD_ENET2_TXC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_TXC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xF0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RX_CTL,SW_MUX_CTL_PAD_ENET2_RX_CTL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RX_CTL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xF4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RXC,SW_MUX_CTL_PAD_ENET2_RXC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RXC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xF8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RD0,SW_MUX_CTL_PAD_ENET2_RD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0xFC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RD1,SW_MUX_CTL_PAD_ENET2_RD1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RD1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x100++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RD2,SW_MUX_CTL_PAD_ENET2_RD2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RD2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x104++0x03 line.long 0x00 "SW_MUX_CTL_PAD_ENET2_RD3,SW_MUX_CTL_PAD_ENET2_RD3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad ENET2_RD3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x108++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_CLK,SW_MUX_CTL_PAD_SD1_CLK SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_CLK" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x10C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_CMD,SW_MUX_CTL_PAD_SD1_CMD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_CMD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x110++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA0,SW_MUX_CTL_PAD_SD1_DATA0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x114++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA1,SW_MUX_CTL_PAD_SD1_DATA1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x118++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA2,SW_MUX_CTL_PAD_SD1_DATA2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x11C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA3,SW_MUX_CTL_PAD_SD1_DATA3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x120++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA4,SW_MUX_CTL_PAD_SD1_DATA4 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA4" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x124++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA5,SW_MUX_CTL_PAD_SD1_DATA5 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA5" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x128++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA6,SW_MUX_CTL_PAD_SD1_DATA6 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA6" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x12C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_DATA7,SW_MUX_CTL_PAD_SD1_DATA7 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_DATA7" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x130++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD1_STROBE,SW_MUX_CTL_PAD_SD1_STROBE SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD1_STROBE" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x134++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_VSELECT,SW_MUX_CTL_PAD_SD2_VSELECT SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_VSELECT" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x138++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_CLK,SW_MUX_CTL_PAD_SD3_CLK SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_CLK" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x13C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_CMD,SW_MUX_CTL_PAD_SD3_CMD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_CMD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x140++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_DATA0,SW_MUX_CTL_PAD_SD3_DATA0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_DATA0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x144++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_DATA1,SW_MUX_CTL_PAD_SD3_DATA1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_DATA1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x148++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_DATA2,SW_MUX_CTL_PAD_SD3_DATA2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_DATA2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x14C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD3_DATA3,SW_MUX_CTL_PAD_SD3_DATA3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD3_DATA3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x150++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_CD_B,SW_MUX_CTL_PAD_SD2_CD_B SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_CD_B" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,?..." group.long 0x154++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_CLK,SW_MUX_CTL_PAD_SD2_CLK SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_CLK" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x158++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_CMD,SW_MUX_CTL_PAD_SD2_CMD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_CMD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x15C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_DATA0,SW_MUX_CTL_PAD_SD2_DATA0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_DATA0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x160++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_DATA1,SW_MUX_CTL_PAD_SD2_DATA1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_DATA1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x164++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_DATA2,SW_MUX_CTL_PAD_SD2_DATA2 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_DATA2" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x168++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_DATA3,SW_MUX_CTL_PAD_SD2_DATA3 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_DATA3" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x16C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SD2_RESET_B,SW_MUX_CTL_PAD_SD2_RESET_B SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SD2_RESET_B" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x170++0x03 line.long 0x00 "SW_MUX_CTL_PAD_I2C1_SCL,SW_MUX_CTL_PAD_I2C1_SCL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad I2C1_SCL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,?,5: Select mux mode,?..." group.long 0x174++0x03 line.long 0x00 "SW_MUX_CTL_PAD_I2C1_SDA,SW_MUX_CTL_PAD_I2C1_SDA SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad I2C1_SDA" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,?,5: Select mux mode,?..." group.long 0x178++0x03 line.long 0x00 "SW_MUX_CTL_PAD_I2C2_SCL,SW_MUX_CTL_PAD_I2C2_SCL SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad I2C2_SCL" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x17C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_I2C2_SDA,SW_MUX_CTL_PAD_I2C2_SDA SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad I2C2_SDA" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x180++0x03 line.long 0x00 "SW_MUX_CTL_PAD_UART1_RXD,SW_MUX_CTL_PAD_UART1_RXD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad UART1_RXD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,?,5: Select mux mode,?..." group.long 0x184++0x03 line.long 0x00 "SW_MUX_CTL_PAD_UART1_TXD,SW_MUX_CTL_PAD_UART1_TXD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad UART1_TXD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,?,5: Select mux mode,?..." group.long 0x188++0x03 line.long 0x00 "SW_MUX_CTL_PAD_UART2_RXD,SW_MUX_CTL_PAD_UART2_RXD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad UART2_RXD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x18C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_UART2_TXD,SW_MUX_CTL_PAD_UART2_TXD SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad UART2_TXD" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,?,5: Select mux mode,?..." group.long 0x190++0x03 line.long 0x00 "SW_MUX_CTL_PAD_PDM_CLK,SW_MUX_CTL_PAD_PDM_CLK SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad PDM_CLK" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,?,?,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x194++0x03 line.long 0x00 "SW_MUX_CTL_PAD_PDM_BIT_STREAM0,SW_MUX_CTL_PAD_PDM_BIT_STREAM0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad PDM_BIT_STREAM0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x198++0x03 line.long 0x00 "SW_MUX_CTL_PAD_PDM_BIT_STREAM1,SW_MUX_CTL_PAD_PDM_BIT_STREAM1 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad PDM_BIT_STREAM1" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,6: Select mux mode,?..." group.long 0x19C++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SAI1_TXFS,SW_MUX_CTL_PAD_SAI1_TXFS SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SAI1_TXFS" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x1A0++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SAI1_TXC,SW_MUX_CTL_PAD_SAI1_TXC SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SAI1_TXC" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x1A4++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SAI1_TXD0,SW_MUX_CTL_PAD_SAI1_TXD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SAI1_TXD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x1A8++0x03 line.long 0x00 "SW_MUX_CTL_PAD_SAI1_RXD0,SW_MUX_CTL_PAD_SAI1_RXD0 SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad SAI1_RXD0" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,1: Select mux mode,2: Select mux mode,3: Select mux mode,4: Select mux mode,5: Select mux mode,?..." group.long 0x1AC++0x03 line.long 0x00 "SW_MUX_CTL_PAD_WDOG_ANY,SW_MUX_CTL_PAD_WDOG_ANY SW MUX Control Register" bitfld.long 0x00 4. "SION,Software Input On Field" "0: Input Path is determined by functionality,1: Force input path of pad WDOG_ANY" bitfld.long 0x00 0.--2. "MUX_MODE,MUX Mode Select Field" "0: Select mux mode,?,?,?,?,5: Select mux mode,?..." group.long 0x1B0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_DAP_TDI,SW_PAD_CTL_PAD_DAP_TDI SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1B4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_DAP_TMS_SWDIO,SW_PAD_CTL_PAD_DAP_TMS_SWDIO SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1B8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_DAP_TCLK_SWCLK,SW_PAD_CTL_PAD_DAP_TCLK_SWCLK SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1BC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_DAP_TDO_TRACESWO,SW_PAD_CTL_PAD_DAP_TDO_TRACESWO SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1C0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO00,SW_PAD_CTL_PAD_GPIO_IO00 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1C4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO01,SW_PAD_CTL_PAD_GPIO_IO01 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1C8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO02,SW_PAD_CTL_PAD_GPIO_IO02 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1CC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO03,SW_PAD_CTL_PAD_GPIO_IO03 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1D0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO04,SW_PAD_CTL_PAD_GPIO_IO04 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1D4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO05,SW_PAD_CTL_PAD_GPIO_IO05 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1D8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO06,SW_PAD_CTL_PAD_GPIO_IO06 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1DC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO07,SW_PAD_CTL_PAD_GPIO_IO07 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1E0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO08,SW_PAD_CTL_PAD_GPIO_IO08 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1E4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO09,SW_PAD_CTL_PAD_GPIO_IO09 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1E8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO10,SW_PAD_CTL_PAD_GPIO_IO10 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1EC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO11,SW_PAD_CTL_PAD_GPIO_IO11 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1F0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO12,SW_PAD_CTL_PAD_GPIO_IO12 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1F4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO13,SW_PAD_CTL_PAD_GPIO_IO13 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1F8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO14,SW_PAD_CTL_PAD_GPIO_IO14 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x1FC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO15,SW_PAD_CTL_PAD_GPIO_IO15 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x200++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO16,SW_PAD_CTL_PAD_GPIO_IO16 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x204++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO17,SW_PAD_CTL_PAD_GPIO_IO17 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x208++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO18,SW_PAD_CTL_PAD_GPIO_IO18 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x20C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO19,SW_PAD_CTL_PAD_GPIO_IO19 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x210++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO20,SW_PAD_CTL_PAD_GPIO_IO20 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x214++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO21,SW_PAD_CTL_PAD_GPIO_IO21 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x218++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO22,SW_PAD_CTL_PAD_GPIO_IO22 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x21C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO23,SW_PAD_CTL_PAD_GPIO_IO23 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x220++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO24,SW_PAD_CTL_PAD_GPIO_IO24 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x224++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO25,SW_PAD_CTL_PAD_GPIO_IO25 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x228++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO26,SW_PAD_CTL_PAD_GPIO_IO26 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x22C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO27,SW_PAD_CTL_PAD_GPIO_IO27 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x230++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO28,SW_PAD_CTL_PAD_GPIO_IO28 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x234++0x03 line.long 0x00 "SW_PAD_CTL_PAD_GPIO_IO29,SW_PAD_CTL_PAD_GPIO_IO29 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x238++0x03 line.long 0x00 "SW_PAD_CTL_PAD_CCM_CLKO1,SW_PAD_CTL_PAD_CCM_CLKO1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x23C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_CCM_CLKO2,SW_PAD_CTL_PAD_CCM_CLKO2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x240++0x03 line.long 0x00 "SW_PAD_CTL_PAD_CCM_CLKO3,SW_PAD_CTL_PAD_CCM_CLKO3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x244++0x03 line.long 0x00 "SW_PAD_CTL_PAD_CCM_CLKO4,SW_PAD_CTL_PAD_CCM_CLKO4 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x248++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_MDC,SW_PAD_CTL_PAD_ENET1_MDC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x24C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_MDIO,SW_PAD_CTL_PAD_ENET1_MDIO SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x250++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TD3,SW_PAD_CTL_PAD_ENET1_TD3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x254++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TD2,SW_PAD_CTL_PAD_ENET1_TD2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x258++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TD1,SW_PAD_CTL_PAD_ENET1_TD1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x25C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TD0,SW_PAD_CTL_PAD_ENET1_TD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x260++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TX_CTL,SW_PAD_CTL_PAD_ENET1_TX_CTL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x264++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_TXC,SW_PAD_CTL_PAD_ENET1_TXC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x268++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RX_CTL,SW_PAD_CTL_PAD_ENET1_RX_CTL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x26C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RXC,SW_PAD_CTL_PAD_ENET1_RXC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x270++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RD0,SW_PAD_CTL_PAD_ENET1_RD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x274++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RD1,SW_PAD_CTL_PAD_ENET1_RD1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x278++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RD2,SW_PAD_CTL_PAD_ENET1_RD2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x27C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET1_RD3,SW_PAD_CTL_PAD_ENET1_RD3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x280++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_MDC,SW_PAD_CTL_PAD_ENET2_MDC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x284++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_MDIO,SW_PAD_CTL_PAD_ENET2_MDIO SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x288++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TD3,SW_PAD_CTL_PAD_ENET2_TD3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x28C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TD2,SW_PAD_CTL_PAD_ENET2_TD2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x290++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TD1,SW_PAD_CTL_PAD_ENET2_TD1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x294++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TD0,SW_PAD_CTL_PAD_ENET2_TD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x298++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TX_CTL,SW_PAD_CTL_PAD_ENET2_TX_CTL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x29C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_TXC,SW_PAD_CTL_PAD_ENET2_TXC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2A0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RX_CTL,SW_PAD_CTL_PAD_ENET2_RX_CTL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2A4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RXC,SW_PAD_CTL_PAD_ENET2_RXC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2A8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RD0,SW_PAD_CTL_PAD_ENET2_RD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2AC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RD1,SW_PAD_CTL_PAD_ENET2_RD1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2B0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RD2,SW_PAD_CTL_PAD_ENET2_RD2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2B4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_ENET2_RD3,SW_PAD_CTL_PAD_ENET2_RD3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2B8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_CLK,SW_PAD_CTL_PAD_SD1_CLK SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2BC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_CMD,SW_PAD_CTL_PAD_SD1_CMD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2C0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA0,SW_PAD_CTL_PAD_SD1_DATA0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2C4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA1,SW_PAD_CTL_PAD_SD1_DATA1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2C8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA2,SW_PAD_CTL_PAD_SD1_DATA2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2CC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA3,SW_PAD_CTL_PAD_SD1_DATA3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2D0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA4,SW_PAD_CTL_PAD_SD1_DATA4 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2D4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA5,SW_PAD_CTL_PAD_SD1_DATA5 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2D8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA6,SW_PAD_CTL_PAD_SD1_DATA6 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2DC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_DATA7,SW_PAD_CTL_PAD_SD1_DATA7 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2E0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD1_STROBE,SW_PAD_CTL_PAD_SD1_STROBE SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2E4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_VSELECT,SW_PAD_CTL_PAD_SD2_VSELECT SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2E8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_CLK,SW_PAD_CTL_PAD_SD3_CLK SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2EC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_CMD,SW_PAD_CTL_PAD_SD3_CMD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2F0++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_DATA0,SW_PAD_CTL_PAD_SD3_DATA0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2F4++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_DATA1,SW_PAD_CTL_PAD_SD3_DATA1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2F8++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_DATA2,SW_PAD_CTL_PAD_SD3_DATA2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x2FC++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD3_DATA3,SW_PAD_CTL_PAD_SD3_DATA3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x300++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_CD_B,SW_PAD_CTL_PAD_SD2_CD_B SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x304++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_CLK,SW_PAD_CTL_PAD_SD2_CLK SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x308++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_CMD,SW_PAD_CTL_PAD_SD2_CMD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x30C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_DATA0,SW_PAD_CTL_PAD_SD2_DATA0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x310++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_DATA1,SW_PAD_CTL_PAD_SD2_DATA1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x314++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_DATA2,SW_PAD_CTL_PAD_SD2_DATA2 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x318++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_DATA3,SW_PAD_CTL_PAD_SD2_DATA3 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x31C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SD2_RESET_B,SW_PAD_CTL_PAD_SD2_RESET_B SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x320++0x03 line.long 0x00 "SW_PAD_CTL_PAD_I2C1_SCL,SW_PAD_CTL_PAD_I2C1_SCL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x324++0x03 line.long 0x00 "SW_PAD_CTL_PAD_I2C1_SDA,SW_PAD_CTL_PAD_I2C1_SDA SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x328++0x03 line.long 0x00 "SW_PAD_CTL_PAD_I2C2_SCL,SW_PAD_CTL_PAD_I2C2_SCL SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x32C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_I2C2_SDA,SW_PAD_CTL_PAD_I2C2_SDA SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x330++0x03 line.long 0x00 "SW_PAD_CTL_PAD_UART1_RXD,SW_PAD_CTL_PAD_UART1_RXD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x334++0x03 line.long 0x00 "SW_PAD_CTL_PAD_UART1_TXD,SW_PAD_CTL_PAD_UART1_TXD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x338++0x03 line.long 0x00 "SW_PAD_CTL_PAD_UART2_RXD,SW_PAD_CTL_PAD_UART2_RXD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x33C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_UART2_TXD,SW_PAD_CTL_PAD_UART2_TXD SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x340++0x03 line.long 0x00 "SW_PAD_CTL_PAD_PDM_CLK,SW_PAD_CTL_PAD_PDM_CLK SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x344++0x03 line.long 0x00 "SW_PAD_CTL_PAD_PDM_BIT_STREAM0,SW_PAD_CTL_PAD_PDM_BIT_STREAM0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x348++0x03 line.long 0x00 "SW_PAD_CTL_PAD_PDM_BIT_STREAM1,SW_PAD_CTL_PAD_PDM_BIT_STREAM1 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x34C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SAI1_TXFS,SW_PAD_CTL_PAD_SAI1_TXFS SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x350++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SAI1_TXC,SW_PAD_CTL_PAD_SAI1_TXC SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x354++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SAI1_TXD0,SW_PAD_CTL_PAD_SAI1_TXD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x358++0x03 line.long 0x00 "SW_PAD_CTL_PAD_SAI1_RXD0,SW_PAD_CTL_PAD_SAI1_RXD0 SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x35C++0x03 line.long 0x00 "SW_PAD_CTL_PAD_WDOG_ANY,SW_PAD_CTL_PAD_WDOG_ANY SW PAD Control Register" hexmask.long.byte 0x00 24.--31. 1. "APC,Domain Access Field" bitfld.long 0x00 12. "HYS,Schmitt trigger Field" "0: HYS_0_No_Schmitt_input,1: HYS_1_Schmitt_input" newline bitfld.long 0x00 11. "OD,Open Drain Field" "0: OD_0_Open_Drain_Disable,1: OD_1_Open_Drain_Enable" bitfld.long 0x00 10. "PD,Pull Down Field" "0: PD_0_Not_pull_down,1: PD_1_Pull_down" newline bitfld.long 0x00 9. "PU,Pull Up Field" "0: PU_0_No_pull_up,1: PU_1_Pull_up" bitfld.long 0x00 7.--8. "FSEL1,Slew Rate Field" "0: no description available,1: no description available,2: FSEL1_2_Slight_Fast_Slew_Rate,3: FSEL1_3_Fast_Slew_Rate" newline bitfld.long 0x00 1.--6. "DSE,Drive Strength Field" "0: no description available,1: no description available,2: no description available,3: no description available,4: no description available,5: no description available,6: no description available,7: no description available,8: no description available,9: no description available,10: no description available,11: no description available,12: no description available,13: no description available,14: no description available,15: no description available,16: no description available,17: no description available,18: no description available,19: no description available,20: no description available,21: no description available,22: no description available,23: no description available,24: no description available,25: no description available,26: no description available,27: no description available,28: no description available,29: no description available,30: no description available,31: no description available,32: no description available,33: no description available,34: no description available,35: no description available,36: no description available,37: no description available,38: no description available,39: no description available,40: no description available,41: no description available,42: no description available,43: no description available,44: no description available,45: no description available,46: no description available,47: no description available,48: no description available,49: no description available,50: no description available,51: no description available,52: no description available,53: no description available,54: no description available,55: no description available,56: no description available,57: no description available,58: no description available,59: no description available,60: no description available,61: no description available,62: no description available,63: DSE_63_X6" group.long 0x360++0x03 line.long 0x00 "CAN1_IPP_IND_CANRX_SELECT_INPUT,CAN1_IPP_IND_CANRX_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x364++0x03 line.long 0x00 "CAN2_IPP_IND_CANRX_SELECT_INPUT,CAN2_IPP_IND_CANRX_SELECT_INPUT DAISY Register" bitfld.long 0x00 0.--1. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad,2: Selecting Pad,3: Selecting Pad" group.long 0x368++0x03 line.long 0x00 "CCMSRCGPCMIX_EXT1_CLK_SELECT_INPUT,CCMSRCGPCMIX_EXT1_CLK_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x36C++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_0,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_0 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x370++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_1,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_1 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x374++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_2,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_2 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x378++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_3,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_3 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x37C++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_4,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_4 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x380++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_5,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_5 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x384++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_6,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_6 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x388++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_7,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_7 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x38C++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_8,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_8 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x390++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_9,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_9 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x394++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_10,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_10 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x398++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_11,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_11 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x39C++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_13,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_13 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3A0++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_14,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_14 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3A4++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_15,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_15 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3A8++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_16,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_16 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3AC++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_17,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_17 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3B0++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_18,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_18 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3B4++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_20,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_20 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3B8++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_22,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_22 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3BC++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_23,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_23 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3C0++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_24,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_24 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3C4++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_25,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_25 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3C8++0x03 line.long 0x00 "FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_27,FLEXIO1_IPP_IND_FLEXIO_SELECT_INPUT_27 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3CC++0x03 line.long 0x00 "I3C2_PIN_SCL_IN_SELECT_INPUT,I3C2_PIN_SCL_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3D0++0x03 line.long 0x00 "I3C2_PIN_SDA_IN_SELECT_INPUT,I3C2_PIN_SDA_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3D4++0x03 line.long 0x00 "JTAG_MUX_TCK_SELECT_INPUT,JTAG_MUX_TCK_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3D8++0x03 line.long 0x00 "JTAG_MUX_TDI_SELECT_INPUT,JTAG_MUX_TDI_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3DC++0x03 line.long 0x00 "JTAG_MUX_TMS_SELECT_INPUT,JTAG_MUX_TMS_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3E0++0x03 line.long 0x00 "LPI2C3_IPP_IND_LPI2C_SCL_SELECT_INPUT,LPI2C3_IPP_IND_LPI2C_SCL_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3E4++0x03 line.long 0x00 "LPI2C3_IPP_IND_LPI2C_SDA_SELECT_INPUT,LPI2C3_IPP_IND_LPI2C_SDA_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3E8++0x03 line.long 0x00 "LPI2C5_IPP_IND_LPI2C_SCL_SELECT_INPUT,LPI2C5_IPP_IND_LPI2C_SCL_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3EC++0x03 line.long 0x00 "LPI2C5_IPP_IND_LPI2C_SDA_SELECT_INPUT,LPI2C5_IPP_IND_LPI2C_SDA_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3F0++0x03 line.long 0x00 "LPI2C6_IPP_IND_LPI2C_SCL_SELECT_INPUT,LPI2C6_IPP_IND_LPI2C_SCL_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3F4++0x03 line.long 0x00 "LPI2C6_IPP_IND_LPI2C_SDA_SELECT_INPUT,LPI2C6_IPP_IND_LPI2C_SDA_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3F8++0x03 line.long 0x00 "LPI2C7_IPP_IND_LPI2C_SCL_SELECT_INPUT,LPI2C7_IPP_IND_LPI2C_SCL_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x3FC++0x03 line.long 0x00 "LPI2C7_IPP_IND_LPI2C_SDA_SELECT_INPUT,LPI2C7_IPP_IND_LPI2C_SDA_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x400++0x03 line.long 0x00 "LPI2C8_IPP_IND_LPI2C_SCL_SELECT_INPUT,LPI2C8_IPP_IND_LPI2C_SCL_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x404++0x03 line.long 0x00 "LPI2C8_IPP_IND_LPI2C_SDA_SELECT_INPUT,LPI2C8_IPP_IND_LPI2C_SDA_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x408++0x03 line.long 0x00 "LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_0,LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_0 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x40C++0x03 line.long 0x00 "LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_1,LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_1 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x410++0x03 line.long 0x00 "LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_2,LPTMR2_IPP_IND_LPTIMER_SELECT_INPUT_2 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x414++0x03 line.long 0x00 "LPUART3_IPP_IND_LPUART_CTS_N_SELECT_INPUT,LPUART3_IPP_IND_LPUART_CTS_N_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x418++0x03 line.long 0x00 "LPUART3_IPP_IND_LPUART_RXD_SELECT_INPUT,LPUART3_IPP_IND_LPUART_RXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x41C++0x03 line.long 0x00 "LPUART3_IPP_IND_LPUART_TXD_SELECT_INPUT,LPUART3_IPP_IND_LPUART_TXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x420++0x03 line.long 0x00 "LPUART4_IPP_IND_LPUART_CTS_N_SELECT_INPUT,LPUART4_IPP_IND_LPUART_CTS_N_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x424++0x03 line.long 0x00 "LPUART4_IPP_IND_LPUART_RXD_SELECT_INPUT,LPUART4_IPP_IND_LPUART_RXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x428++0x03 line.long 0x00 "LPUART4_IPP_IND_LPUART_TXD_SELECT_INPUT,LPUART4_IPP_IND_LPUART_TXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x42C++0x03 line.long 0x00 "LPUART5_IPP_IND_LPUART_CTS_N_SELECT_INPUT,LPUART5_IPP_IND_LPUART_CTS_N_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x430++0x03 line.long 0x00 "LPUART5_IPP_IND_LPUART_RXD_SELECT_INPUT,LPUART5_IPP_IND_LPUART_RXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x434++0x03 line.long 0x00 "LPUART5_IPP_IND_LPUART_TXD_SELECT_INPUT,LPUART5_IPP_IND_LPUART_TXD_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x438++0x03 line.long 0x00 "PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_0,PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_0 DAISY Register" bitfld.long 0x00 0.--1. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad,2: Selecting Pad,?..." group.long 0x43C++0x03 line.long 0x00 "PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_1,PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_1 DAISY Register" bitfld.long 0x00 0.--1. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad,2: Selecting Pad,?..." group.long 0x440++0x03 line.long 0x00 "PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_2,PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_2 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x444++0x03 line.long 0x00 "PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_3,PDM_IPP_IND_MIC_PDM_BITSTREAM_SELECT_INPUT_3 DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x448++0x03 line.long 0x00 "SAI1_IPP_IND_SAI_MCLK_SELECT_INPUT,SAI1_IPP_IND_SAI_MCLK_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x44C++0x03 line.long 0x00 "SAI3_IPP_IND_SAI_RXBCLK_SELECT_INPUT,SAI3_IPP_IND_SAI_RXBCLK_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x450++0x03 line.long 0x00 "SAI3_IPP_IND_SAI_RXSYNC_SELECT_INPUT,SAI3_IPP_IND_SAI_RXSYNC_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x454++0x03 line.long 0x00 "SPDIF_SPDIF_I_SELECT_INPUT,SPDIF_SPDIF_I_SELECT_INPUT DAISY Register" bitfld.long 0x00 0.--1. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad,2: Selecting Pad,?..." group.long 0x458++0x03 line.long 0x00 "USDHC3_IPP_CARD_CLK_IN_SELECT_INPUT,USDHC3_IPP_CARD_CLK_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x45C++0x03 line.long 0x00 "USDHC3_IPP_CMD_IN_SELECT_INPUT,USDHC3_IPP_CMD_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x460++0x03 line.long 0x00 "USDHC3_IPP_DAT0_IN_SELECT_INPUT,USDHC3_IPP_DAT0_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x464++0x03 line.long 0x00 "USDHC3_IPP_DAT1_IN_SELECT_INPUT,USDHC3_IPP_DAT1_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x468++0x03 line.long 0x00 "USDHC3_IPP_DAT2_IN_SELECT_INPUT,USDHC3_IPP_DAT2_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" group.long 0x46C++0x03 line.long 0x00 "USDHC3_IPP_DAT3_IN_SELECT_INPUT,USDHC3_IPP_DAT3_IN_SELECT_INPUT DAISY Register" bitfld.long 0x00 0. "DAISY,Selecting Pads Involved in Daisy Chain" "0: Selecting Pad,1: Selecting Pad" tree.end tree "ISI (ISI Memory Map)" base ad:0x4AE40000 group.long 0x00++0x03 line.long 0x00 "CHNL_CTRL,Channel Control Register" bitfld.long 0x00 31. "CHNL_EN,Enable channel processing" "0: Processing channel is disabled,1: Processing channel is enabled" bitfld.long 0x00 30. "CLK_EN,Channel clock enable" "0: Channel processing clock is disabled,1: Channel processing clock is enabled" newline bitfld.long 0x00 29. "CHNL_BYPASS,Channel bypass enable" "0: Channel is not bypassed,1: Channel is bypassed" bitfld.long 0x00 25.--26. "CHAIN_BUF,Chain line buffer control" "0: No line buffers chained (supports 2048 or..,1: 2 line buffers chained (supports 4096..,2: 4 line buffers chained (supports 8192..,?..." newline bitfld.long 0x00 24. "SW_RST,Software reset bit" "0: sft_reset_dis,1: Channel pipeline is under software reset" bitfld.long 0x00 22. "RAW_MSB_ALIGN,Virtual channel ID" "0: LSB aligned selection,1: MSB aligned selection" newline rbitfld.long 0x00 18.--21. "VER_ID,Version ID for the IP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--10. "SEC_LB_SRC,Secondary line buffer source" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "VC_ID,Virtual channel ID" "0: Virtual Channel 0 selected or no virtual..,1: Virtual Channel 1 selected,2: Virtual Channel 2 selected,3: Virtual Channel 3 selected" bitfld.long 0x00 4. "SRC_TYPE,Type of selected input image source" "0: Image input source is Pixel Link,1: Image input source is Memory" newline bitfld.long 0x00 0. "SRC,Input image source port selection" "0: Image will be sourced from input port 0 of..,1: Image will be sourced from input port 1 of.." group.long 0x04++0x03 line.long 0x00 "CHNL_IMG_CTRL,Channel Image Control Register" bitfld.long 0x00 24.--29. "FORMAT,Output image format" "0: rgba,1: agbr,2: argb,3: rgbx,4: xbgr,5: xrgb,6: rgb,7: bgr,8: a2bgr,9: a2rgb,10: rgb565,11: raw8,12: raw10,13: raw10p,14: raw12,15: raw16,16: yuv444_1p,17: yuv444_2p,18: yuv444_3p,19: yuv444_1p_waste,20: yuv444_1p_interleaved,21: yuv444_2p_interlaved,22: yuv444_3p_noninterleaved,?,24: yuv444_1p_interleaved_2,25: yuv444_2p_interlaved_2,26: yuv444_3p_noninterleaved_2,?,28: yuv444_1p_interleaved_4,29: yuv444_2p_interleaved_4,30: yuv444_3p_noninterleaved_4,?,32: yuv422_1p_interleaved,33: yuv4422_2p_interleaved,34: yuv422_3p,?,36: yuv422_10b_1p,37: yuv422_10b_2p,38: yuv422_10b_3p,?,40: yuv422_10b_1p_2,41: yuv422_10b_2p_2,42: yuv422_10b_3p_2,?,44: yuv422_12b_1p,45: yuv422_12b_2p,46: yuv422_12b_3p,?,?,49: yuv420_8b_2p,50: yuv420_8b_3p,?,?,53: yuv420_10b_2p,54: yuv420_10b_3p,?,?,57: yuv420_10b_2p_1,58: yuv420_10b_3p_1,?,?,61: yuv420_12b_2p,62: yuv420_12b_3p,?..." hexmask.long.byte 0x00 16.--23. 1. "GBL_ALPHA_VAL,Global alpha value" newline bitfld.long 0x00 15. "GBL_ALPHA_EN,Global alpha value insertion enable" "0: Global Alpha value insertion is disabled,1: Global Alpha value insertion is enabled" bitfld.long 0x00 12.--14. "DEINT,De-interlace control" "0: No de-interlacing done,1: No de-interlacing done,2: Weave de-interlacing (Odd Even) method used,3: Weave de-interlacing (Even Odd) method used,?..." newline bitfld.long 0x00 10.--11. "DEC_X,Horizontal pre-decimation control" "0: Pre-decimation filter is disabled,1: Decimate by 2,2: Decimate by 4,3: Decimate by 8" bitfld.long 0x00 8.--9. "DEC_Y,Vertical pre-decimation control" "0: Pre-decimation filter is disabled,1: Decimate by 2,2: Decimate by 4,3: Decimate by 8" newline bitfld.long 0x00 7. "CROP_EN,Output image cropping enable" "0: Image cropping is disabled,1: Image cropping is enabled" bitfld.long 0x00 6. "VFLIP_EN,Veritical flip control" "0: Vertical image flip disabled,1: Vertical image flip enabled" newline bitfld.long 0x00 5. "HFLIP_EN,Horizontal flip control" "0: Horizantal image flip disabled,1: Horizontal image flip enabled" rbitfld.long 0x00 4. "RSVD2,Reserved field" "0,1" newline bitfld.long 0x00 3. "YCBCR_MODE,YCbCr Mode" "0: YCbCr mode is disabled,1: YCbCr mode is enabled" bitfld.long 0x00 1.--2. "CSC_MODE,Color Space Conversion operating mode" "0: Convert from YUV to RGB,1: Convert from YCbCr to RGB,2: Convert from RGB to YUV,3: Convert from RGB to YCbCr" newline bitfld.long 0x00 0. "CSC_BYP,Color Space Conversion bypass control" "0: CSC is operational,1: CSC is bypassed" group.long 0x08++0x03 line.long 0x00 "CHNL_OUT_BUF_CTRL,Channel Output Buffer Control Register" bitfld.long 0x00 31. "MAX_WR_BEATS_Y,Maximum AXI write beats for Y-buffer" "0: Maximum write beats per write request are 8..,1: Maximum write beats per write request are 16.." bitfld.long 0x00 30. "MAX_WR_BEATS_UV,Maximum AXI write beats for U and V-buffers" "0: Maximum write beats per write request are 8..,1: Maximum write beats per write request are 16.." newline bitfld.long 0x00 16.--19. "PANIC_SET_THD_V,Overflow panic set threshold value for V output buffer" "0: No panic alert will be asserted,1: Panic will assert when buffer is n * 6.25%..,2: Panic will assert when buffer is n * 6.25%..,3: Panic will assert when buffer is n * 6.25%..,4: Panic will assert when buffer is n * 6.25%..,5: Panic will assert when buffer is n * 6.25%..,6: Panic will assert when buffer is n * 6.25%..,7: Panic will assert when buffer is n * 6.25%..,8: Panic will assert when buffer is n * 6.25%..,9: Panic will assert when buffer is n * 6.25%..,?..." bitfld.long 0x00 15. "LOAD_BUF2_ADDR,Load Buffer 2 Address from CHNLOUT_BUF2_ADDR_* registers" "0,1" newline bitfld.long 0x00 14. "LOAD_BUF1_ADDR,Load Buffer 1 Address from CHNLOUT_BUF1_ADDR_* registers" "0,1" bitfld.long 0x00 8.--11. "PANIC_SET_THD_U,Overflow panic set threshold value for U output buffer" "0: No panic alert will be asserted,1: Panic will assert when buffer is n * 6.25%..,2: Panic will assert when buffer is n * 6.25%..,3: Panic will assert when buffer is n * 6.25%..,4: Panic will assert when buffer is n * 6.25%..,5: Panic will assert when buffer is n * 6.25%..,6: Panic will assert when buffer is n * 6.25%..,7: Panic will assert when buffer is n * 6.25%..,8: Panic will assert when buffer is n * 6.25%..,9: Panic will assert when buffer is n * 6.25%..,?..." newline bitfld.long 0x00 0.--3. "PANIC_SET_THD_Y,Overflow panic set threshold value for Y/RGB output buffer" "0: No panic alert will be asserted,1: Panic will assert when buffer is n * 6.25%..,2: Panic will assert when buffer is n * 6.25%..,3: Panic will assert when buffer is n * 6.25%..,4: Panic will assert when buffer is n * 6.25%..,5: Panic will assert when buffer is n * 6.25%..,6: Panic will assert when buffer is n * 6.25%..,7: Panic will assert when buffer is n * 6.25%..,8: Panic will assert when buffer is n * 6.25%..,9: Panic will assert when buffer is n * 6.25%..,?..." group.long 0x0C++0x03 line.long 0x00 "CHNL_IMG_CFG,Channel Image Configuration" rbitfld.long 0x00 29.--31. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "HEIGHT,Input image height (lines)" newline rbitfld.long 0x00 13.--15. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "WIDTH,Input image width (pixels)" group.long 0x10++0x03 line.long 0x00 "CHNL_IER,Channel Interrupt Enable Register" bitfld.long 0x00 31. "MEM_RD_DONE_EN,Memory read complete interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 30. "LINE_RCVD_EN,Line received interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 29. "FRM_RCVD_EN,Frame received interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 28. "AXI_WR_ERR_V_EN,AXI bus read error interrupt enable bit for V data buffer" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 27. "AXI_WR_ERR_U_EN,AXI bus read error interrupt enable bit for U data buffer" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 26. "AXI_WR_ERR_Y_EN,AXI bus read error interrupt enable bit for Y/RGB data buffer" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 25. "AXI_RD_ERR_EN,AXI bus read error interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 23. "PANIC_V_BUF_EN,V output buffer potential overflow panic interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 22. "OFLW_V_BUF_EN,V output buffer overflow interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 21. "PANIC_U_BUF_EN,U output buffer potential overflow panic interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 20. "OFLW_U_BUF_EN,U output buffer overflow interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 19. "PANIC_Y_BUF_EN,Y output buffer potential overflow panic interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 18. "OFLW_Y_BUF_EN,Y output buffer overflow interrupt enable bit" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 17. "EARLY_VSYNC_ERR_EN,VSYNC timing (Early) error interrupt enable bit" "0: early_vsync_err_int_dis,1: early_vsync_err_int_en" newline bitfld.long 0x00 16. "LATE_VSYNC_ERR_EN,VSYNC timing (Late) error interrupt enable bit" "0: late_vsync_er_int_dis,1: late_vsync_er_int_en" hexmask.long.word 0x00 0.--15. 1. "RSVD0,Reserved field" group.long 0x14++0x03 line.long 0x00 "CHNL_STS,Channel Status Register" eventfld.long 0x00 31. "MEM_RD_DONE,Memory read complete interrupt flag" "0: Image read from memory not complete or not..,1: Image read from memory completed" eventfld.long 0x00 30. "LINE_STRD,Line received and stored interrupt flag" "0: No new line received,1: New line received and stored into memory" newline eventfld.long 0x00 29. "FRM_STRD,Frame stored successfully interrupt flag" "0: No frame being received or in progress,1: One full frame has been received and stored.." eventfld.long 0x00 28. "AXI_WR_ERR_V,AXI Bus write error interrupt flag for V data buffer" "0: No error,1: Error occured during" newline eventfld.long 0x00 27. "AXI_WR_ERR_U,AXI Bus write error interrupt flag for U data buffer" "0: no_err_u,1: Error occured during" eventfld.long 0x00 26. "AXI_WR_ERR_Y,AXI Bus write error interrupt flag for Y/RGB data buffer" "0: no_err_y,1: Error occured during" newline eventfld.long 0x00 25. "AXI_RD_ERR,AXI Bus read error interrupt flag" "0: no_err_rd,1: Error occured during" eventfld.long 0x00 23. "PANIC_V_BUF,V output buffer potential overflow panic alert interrupt flag" "0: Buffer has not crossed the panic threshold..,1: Panic threshold limit crossed" newline eventfld.long 0x00 22. "OFLW_V_BUF,Overflow in U output buffer interrupt flag" "0: No overflow,1: Overflow has occured in the channel" eventfld.long 0x00 21. "PANIC_U_BUF,U output buffer potential overflow panic alert interrupt flag" "0: Buffer has not crossed the panic threshold..,1: Panic threshold limit crossed" newline eventfld.long 0x00 20. "OFLW_U_BUF,Overflow in U output buffer interrupt flag" "0: No overflow,1: Overflow has occured in the channel" eventfld.long 0x00 19. "PANIC_Y_BUF,Y/RGB output buffer potential overflow panic alert interrupt flag" "0: Buffer has not crossed the panic threshold..,1: Panic threshold limit crossed" newline eventfld.long 0x00 18. "OFLW_Y_BUF,Overflow in Y/RGB output buffer interrupt flag" "0: No overflow,1: Overflow has occured in the channel" eventfld.long 0x00 17. "EARLY_VSYNC_ERR,VSYNC timing (Early) error interrupt flag" "0: no_early_vsync,1: VSYNC detected earlier than expected" newline eventfld.long 0x00 16. "LATE_VSYNC_ERR,VSYNC timing (Late) error interrupt flag" "0: no_later_vsync,1: VSYNC detected later than expected" rbitfld.long 0x00 11.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 10. "MEM_RD_OFLOW,Memory read FIFO overflow error status" "0: No overflow occurred during memory,1: FIFO overflow occurred during memory" rbitfld.long 0x00 9. "BUF2_ACTIVE,Current frame being stored in Buffer 2 Address" "0: Buffer 2 Address inactive,1: Buffer 2 Address in use" newline rbitfld.long 0x00 8. "BUF1_ACTIVE,Current frame being stored in Buffer 1 Address" "0: Buffer 1 Address inactive,1: Buffer 1 Address in use" group.long 0x18++0x03 line.long 0x00 "CHNL_SCALE_FACTOR,Channel Scale Factor Register" rbitfld.long 0x00 30.--31. "RSVD0,Reserved field" "0,1,2,3" hexmask.long.word 0x00 16.--29. 1. "Y_SCALE,Vertical scaling factor" newline rbitfld.long 0x00 14.--15. "RSVD1,Reserved field" "0,1,2,3" hexmask.long.word 0x00 0.--13. 1. "X_SCALE,Horizontal scaling factor" group.long 0x1C++0x03 line.long 0x00 "CHNL_SCALE_OFFSET,Channel Scale Offset Register" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "Y_OFFSET,Vertical scaling offset" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "X_OFFSET,Horizontal scaling offset" group.long 0x20++0x03 line.long 0x00 "CHNL_CROP_ULC,Channel Crop Upper Left Corner Coordinate Register" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Upper Left X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Upper Left Y-coordinate" group.long 0x24++0x03 line.long 0x00 "CHNL_CROP_LRC,Channel Crop Lower Right Corner Coordinate Register" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Lower Right X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Lower Right Y-coordinate" group.long 0x28++0x03 line.long 0x00 "CHNL_CSC_COEFF0,Channel Color Space Conversion Coefficient Register 0" rbitfld.long 0x00 27.--31. "RSVD0,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "A2,CSC Coefficient A2 value" newline rbitfld.long 0x00 11.--15. "RSVD1,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "A1,CSC Coefficient A1 value" group.long 0x2C++0x03 line.long 0x00 "CHNL_CSC_COEFF1,Channel Color Space Conversion Coefficient Register 1" rbitfld.long 0x00 27.--31. "RSVD0,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "B1,CSC Coefficient B1 value" newline rbitfld.long 0x00 11.--15. "RSVD1,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "A3,CSC Coefficient A3 value" group.long 0x30++0x03 line.long 0x00 "CHNL_CSC_COEFF2,Channel Color Space Conversion Coefficient Register 2" rbitfld.long 0x00 27.--31. "RSVD0,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "B3,CSC Coefficient B3 value" newline rbitfld.long 0x00 11.--15. "RSVD1,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "B2,CSC Coefficient B2 value" group.long 0x34++0x03 line.long 0x00 "CHNL_CSC_COEFF3,Channel Color Space Conversion Coefficient Register 3" rbitfld.long 0x00 27.--31. "RSVD0,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "C2,CSC Coefficient C2 value" newline rbitfld.long 0x00 11.--15. "RSVD1,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "C1,CSC Coefficient C1 value" group.long 0x38++0x03 line.long 0x00 "CHNL_CSC_COEFF4,Channel Color Space Conversion Coefficient Register 4" hexmask.long.byte 0x00 25.--31. 1. "RSVD0,Reserved Field" hexmask.long.word 0x00 16.--24. 1. "D1,CSC Coefficient D1 value" newline rbitfld.long 0x00 11.--15. "RSVD1,Reserved Field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "C3,CSC Coefficient C3 value" group.long 0x3C++0x03 line.long 0x00 "CHNL_CSC_COEFF5,Channel Color Space Conversion Coefficient Register 5" hexmask.long.byte 0x00 25.--31. 1. "RSVD0,Reserved Field" hexmask.long.word 0x00 16.--24. 1. "D3,CSC Coefficient D3 value" newline hexmask.long.byte 0x00 9.--15. 1. "RSVD1,Reserved Field" hexmask.long.word 0x00 0.--8. 1. "D2,CSC Coefficient D2 value" group.long 0x40++0x03 line.long 0x00 "CHNL_ROI_0_ALPHA,Channel Alpha Value Register for Region of Interest 0" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,Alpha Value to be inserted with image" hexmask.long.byte 0x00 17.--23. 1. "RSVD0,Reserved field" newline bitfld.long 0x00 16. "ALPHA_EN,Alpha value insertion enable" "0: Alpha value insertion is disabled,1: Alpha value insertion is enabled" hexmask.long.word 0x00 0.--15. 1. "RSVD1,Reserved field" group.long 0x44++0x03 line.long 0x00 "CHNL_ROI_0_ULC,Channel Upper Left Coordinate Register for Region of Interest 0" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Upper Left X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Upper Left Y-coordinate" group.long 0x48++0x03 line.long 0x00 "CHNL_ROI_0_LRC,Channel Lower Right Coordinate Register for Region of Interest 0" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Lower Right X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Lower Right Y-coordinate" group.long 0x4C++0x03 line.long 0x00 "CHNL_ROI_1_ALPHA,Channel Alpha Value Register for Region of Interest 1" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,Alpha Value to be inserted with image" hexmask.long.byte 0x00 17.--23. 1. "RSVD0,Reserved field" newline bitfld.long 0x00 16. "ALPHA_EN,Alpha value insertion enable" "0: Alpha value insertion is disabled,1: Alpha value insertion is enabled" hexmask.long.word 0x00 0.--15. 1. "RSVD1,Reserved field" group.long 0x50++0x03 line.long 0x00 "CHNL_ROI_1_ULC,Channel Upper Left Coordinate Register for Region of Interest 1" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Upper Left X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Upper Left Y-coordinate" group.long 0x54++0x03 line.long 0x00 "CHNL_ROI_1_LRC,Channel Lower Right Coordinate Register for Region of Interest 1" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Lower Right X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Lower Right Y-coordinate" group.long 0x58++0x03 line.long 0x00 "CHNL_ROI_2_ALPHA,Channel Alpha Value Register for Region of Interest 2" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,Alpha Value to be inserted with image" hexmask.long.byte 0x00 17.--23. 1. "RSVD0,Reserved field" newline bitfld.long 0x00 16. "ALPHA_EN,Alpha value insertion enable" "0: Alpha value insertion is disabled,1: Alpha value insertion is enabled" hexmask.long.word 0x00 0.--15. 1. "RSVD1,Reserved field" group.long 0x5C++0x03 line.long 0x00 "CHNL_ROI_2_ULC,Channel Upper Left Coordinate Register for Region of Interest 2" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Upper Left X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Upper Left Y-coordinate" group.long 0x60++0x03 line.long 0x00 "CHNL_ROI_2_LRC,Channel Lower Right Coordinate Register for Region of Interest 2" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Lower Right X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Lower Right Y-coordinate" group.long 0x64++0x03 line.long 0x00 "CHNL_ROI_3_ALPHA,Channel Alpha Value Register for Region of Interest 3" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,Alpha Value to be inserted with image" hexmask.long.byte 0x00 17.--23. 1. "RSVD0,Reserved field" newline bitfld.long 0x00 16. "ALPHA_EN,Alpha value insertion enable" "0: Alpha value insertion is disabled,1: Alpha value insertion is enabled" hexmask.long.word 0x00 0.--15. 1. "RSVD1,Reserved field" group.long 0x68++0x03 line.long 0x00 "CHNL_ROI_3_ULC,Channel Upper Left Coordinate Register for Region of Interest 3" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Upper Left X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Upper Left Y-coordinate" group.long 0x6C++0x03 line.long 0x00 "CHNL_ROI_3_LRC,Channel Lower Right Coordinate Register for Region of Interest 3" rbitfld.long 0x00 28.--31. "RSVD0,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "X,Lower Right X-coordinate" newline rbitfld.long 0x00 12.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. "Y,Lower Right Y-coordinate" group.long 0x70++0x03 line.long 0x00 "CHNL_OUT_BUF1_ADDR_Y,Channel RGB or Luma (Y) Output Buffer 1 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the RGB or Y (luma) memory location" group.long 0x74++0x03 line.long 0x00 "CHNL_OUT_BUF1_ADDR_U,Channel Chroma (U/Cb/UV/CbCr) Output Buffer 1 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the U/Cb or 2 plane UV/CbCr Chroma memory location" group.long 0x78++0x03 line.long 0x00 "CHNL_OUT_BUF1_ADDR_V,Channel Chroma (V/Cr) Output Buffer 1 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the V/Cr memory location" group.long 0x7C++0x03 line.long 0x00 "CHNL_OUT_BUF_PITCH,Channel Output Buffer Pitch" hexmask.long.word 0x00 0.--15. 1. "LINE_PITCH,Output Buffer Line Pitch" group.long 0x80++0x03 line.long 0x00 "CHNL_IN_BUF_ADDR,Channel Input Buffer Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting Address from where the input image is read in from" group.long 0x84++0x03 line.long 0x00 "CHNL_IN_BUF_PITCH,Channel Input Buffer Pitch" hexmask.long.word 0x00 16.--31. 1. "FRM_PITCH,Frame Pitch" hexmask.long.word 0x00 0.--15. 1. "LINE_PITCH,Line Pitch" group.long 0x88++0x03 line.long 0x00 "CHNL_MEM_RD_CTRL,Channel Memory Read Control" bitfld.long 0x00 28.--31. "IMG_TYPE,Input image format" "0: BGR8P - BGR format with 8-bits per color..,1: RGB8P - RGB format with 8-bits per color..,2: XRGB8 - RGB format with 8-bits per color..,3: RGBX8 - RGB format with 8-bits per color..,4: XBGR8 - BGR format with 8-bits per color..,5: RGB565 - RGB format with 5-bits of R B 6-bits..,6: A2BGR10 - BGR format with 2-bits alpha in MSB..,7: A2RGB10 - RGB format with 2-bits alpha in MSB..,8: YUV444_1P8P with 8-bits per color component..,9: YUV444_1P10 with 10-bits per color component..,10: YUV444_1P10P with 10-bits per color..,11: YUV444_1P12 with 12-bits per color component..,12: YUV444_1P8 with 8-bits per color component..,13: YUV422_1P8P with 8-bits per color component..,14: YUV422_1P10 with 10-bits per color component..,15: YUV422_1P12 with 12-bits per color component.." hexmask.long 0x00 1.--27. 1. "RSVD0,Reserved field" newline bitfld.long 0x00 0. "READ_MEM,Initiate read from memory" "0: No reads from memory done,1: Reads from memory initiated" group.long 0x8C++0x03 line.long 0x00 "CHNL_OUT_BUF2_ADDR_Y,Channel RGB or Luma (Y) Output Buffer 2 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the RGB or Y (luma) memory location" group.long 0x90++0x03 line.long 0x00 "CHNL_OUT_BUF2_ADDR_U,Channel Chroma (U/Cb/UV/CbCr) Output Buffer 2 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the U/Cb or 2 plane UV/CbCr Chroma memory location" group.long 0x94++0x03 line.long 0x00 "CHNL_OUT_BUF2_ADDR_V,Channel Chroma (V/Cr) Output Buffer 2 Address" hexmask.long 0x00 0.--31. 1. "ADDR,Starting address for the V/Cr memory location" group.long 0x98++0x03 line.long 0x00 "CHNL_SCL_IMG_CFG,Channel Scaled Image Configuration" rbitfld.long 0x00 29.--31. "RSVD3,Reserved field" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "HEIGHT,Scaled image height (lines)" newline rbitfld.long 0x00 13.--15. "RSVD1,Reserved field" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "WIDTH,Scaled image width (pixels)" group.long 0x9C++0x03 line.long 0x00 "CHNL_FLOW_CTRL,Channel Flow Control Register" hexmask.long.byte 0x00 16.--23. 1. "FC_NUMER,Numertor value of fraction of usable bandwidth" hexmask.long.byte 0x00 0.--7. 1. "FC_DENOM,Denominator value of fraction of usable bandwidth" tree.end tree "LCDIF (LCD Interface)" base ad:0x4AE30000 group.long 0x00++0x03 line.long 0x00 "CTRL,LCDIF display control Register" bitfld.long 0x00 31. "SW_RESET,SW_RESET" "0: SW_RESET_0,1: All LCDIF internal registers are forced into.." bitfld.long 0x00 8.--9. "fetch_start_option,Indicates when to start fetching for new frame" "0: fetch start as soon as FPV begins(as the end..,1: fetch start as soon as PWV begins,2: fetch start as soon as BPV begins,3: fetch start as soon as RESV begins(still have.." newline bitfld.long 0x00 4. "NEG,Indicates if value at the output (pixel data output) needs to be negated" "0: Output is to remain same,1: Output to be negated" bitfld.long 0x00 3. "INV_PXCK,Polarity change of Pixel Clock" "0: Display samples data on the falling edge,1: Display samples data on the rising edge" newline bitfld.long 0x00 2. "INV_DE,Invert Data Enable polarity" "0: Data enable is active high,1: Data enable is active low" bitfld.long 0x00 1. "INV_VS,Invert Vertical synchronization signal" "0: VSYNC signal not inverted (active HIGH),1: Invert VSYNC signal (active LOW)" newline bitfld.long 0x00 0. "INV_HS,Invert Horizontal synchronization signal" "0: HSYNC signal not inverted (active HIGH),1: Invert HSYNC signal (active LOW)" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,LCDIF display control Register" bitfld.long 0x00 31. "SW_RESET,SW_RESET" "0,1" bitfld.long 0x00 8.--9. "fetch_start_option,Indicates when to start fetching for new frame" "0,1,2,3" newline bitfld.long 0x00 4. "NEG,Indicates if value at the output (pixel data output) needs to be negated" "0,1" bitfld.long 0x00 3. "INV_PXCK,Polarity change of Pixel Clock" "0,1" newline bitfld.long 0x00 2. "INV_DE,Invert Data Enable polarity" "0,1" bitfld.long 0x00 1. "INV_VS,Invert Vertical synchronization signal" "0,1" newline bitfld.long 0x00 0. "INV_HS,Invert Horizontal synchronization signal" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,LCDIF display control Register" eventfld.long 0x00 31. "SW_RESET,SW_RESET" "0,1" eventfld.long 0x00 8.--9. "fetch_start_option,Indicates when to start fetching for new frame" "0,1,2,3" newline eventfld.long 0x00 4. "NEG,Indicates if value at the output (pixel data output) needs to be negated" "0,1" eventfld.long 0x00 3. "INV_PXCK,Polarity change of Pixel Clock" "0,1" newline eventfld.long 0x00 2. "INV_DE,Invert Data Enable polarity" "0,1" eventfld.long 0x00 1. "INV_VS,Invert Vertical synchronization signal" "0,1" newline eventfld.long 0x00 0. "INV_HS,Invert Horizontal synchronization signal" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,LCDIF display control Register" bitfld.long 0x00 31. "SW_RESET,SW_RESET" "0,1" bitfld.long 0x00 8.--9. "fetch_start_option,Indicates when to start fetching for new frame" "0,1,2,3" newline bitfld.long 0x00 4. "NEG,Indicates if value at the output (pixel data output) needs to be negated" "0,1" bitfld.long 0x00 3. "INV_PXCK,Polarity change of Pixel Clock" "0,1" newline bitfld.long 0x00 2. "INV_DE,Invert Data Enable polarity" "0,1" bitfld.long 0x00 1. "INV_VS,Invert Vertical synchronization signal" "0,1" newline bitfld.long 0x00 0. "INV_HS,Invert Horizontal synchronization signal" "0,1" group.long 0x10++0x03 line.long 0x00 "DISP_PARA,Display Parameter Register" bitfld.long 0x00 31. "DISP_ON,Display panel On/Off mode" "0: Display Off,1: Display On" bitfld.long 0x00 30. "SWAP_EN,output data swap enable" "0: swap disable,1: swap enbale output data will swap the high.." newline bitfld.long 0x00 26.--29. "LINE_PATTERN,LCDIF line output order" "0: LINE_PATTERN_0,1: LINE_PATTERN_1,2: LINE_PATTERN_2,3: LINE_PATTERN_3,4: LINE_PATTERN_4,5: LINE_PATTERN_5,6: LINE_PATTERN_6,7: LINE_PATTERN_7,8: YUYV at [15:0],9: UYVY at [15:0],10: LINE_PATTERN_10,11: LINE_PATTERN_11,12: LINE_PATTERN_12,13: LINE_PATTERN_13,14: LINE_PATTERN_14,15: LINE_PATTERN_15" bitfld.long 0x00 24.--25. "DISP_MODE,LCDIF operating mode" "0: Normal mode,1: display Mode1.(BGND Color Display),2: display Mode2.(Column Color Bar),3: display Mode3.(Row Color Bar)" newline hexmask.long.byte 0x00 16.--23. 1. "BGND_R,Background Red component value in display Mode 1" hexmask.long.byte 0x00 8.--15. 1. "BGND_G,Background Green component value in display Mode 1" newline hexmask.long.byte 0x00 0.--7. 1. "BGND_B,Background Blue component value in display Mode 1" group.long 0x14++0x03 line.long 0x00 "DISP_SIZE,Display Size Register" hexmask.long.word 0x00 16.--31. 1. "DELTA_Y,Sets the display size vertical resolution in pixels" hexmask.long.word 0x00 0.--15. 1. "DELTA_X,Sets the display size horizontal resolution in pixels" group.long 0x18++0x03 line.long 0x00 "HSYN_PARA,Horizontal Sync Parameter Register" hexmask.long.word 0x00 16.--31. 1. "BP_H,HSYNC back-porch pulse width (in pixel clock cycles)" hexmask.long.word 0x00 0.--15. 1. "FP_H,HSYNC front-porch pulse width (in pixel clock cycles)" group.long 0x1C++0x03 line.long 0x00 "VSYN_PARA,Vertical Sync Parameter Register" hexmask.long.word 0x00 16.--31. 1. "BP_V,VSYNC back-porch pulse width (in horizontal line cycles)" hexmask.long.word 0x00 0.--15. 1. "FP_V,VSYNC front-porch pulse width (in horizontal line cycles)" group.long 0x20++0x03 line.long 0x00 "VSYN_HSYN_WIDTH,Vertical and Horizontal Pulse Width Parameter Register" hexmask.long.word 0x00 16.--31. 1. "PW_V,VSYNC active pulse width (in horizontal line cycles)" hexmask.long.word 0x00 0.--15. 1. "PW_H,HSYNC active pulse width (in pixel clock cycles)" group.long 0x24++0x03 line.long 0x00 "INT_STATUS_D0,Interrupt Status Register for domain 0" eventfld.long 0x00 24. "FIFO_EMPTY,Interrupt flag to indicate that which FIFO in the pixel blending underflowed" "0,1" eventfld.long 0x00 16. "DMA_DONE,Interrupt flag to indicate that which PLANE has fetched the last pixel from memory" "0,1" newline eventfld.long 0x00 8. "DMA_ERR,Interrupt flag to indicate that which PLANE has Read Error on the AXI interface" "0,1" eventfld.long 0x00 2. "VS_BLANK,Interrupt flag to indicate vertical blanking period" "0,1" newline eventfld.long 0x00 1. "UNDERRUN,Interrupt flag to indicate the output buffer underrun condition" "0,1" eventfld.long 0x00 0. "VSYNC,Interrupt flag to indicate that the vertical synchronization phase(The beginning of a frame)" "0,1" group.long 0x28++0x03 line.long 0x00 "INT_ENABLE_D0,Interrupt Enable Register for domain 0" bitfld.long 0x00 24. "FIFO_EMPTY_EN,Enable Interrupt flag to indicate that which FIFO in the pixel blending underflowed" "0,1" bitfld.long 0x00 16. "DMA_DONE_EN,Enable Interrupt flag to indicate that which PLANE has fetched the last pixel from memory" "0,1" newline bitfld.long 0x00 8. "DMA_ERR_EN,Enable Interrupt flag to indicate that which PLANE has Read Error on the AXI interface" "0,1" bitfld.long 0x00 2. "VS_BLANK_EN,Enable Interrupt flag to indicate vertical blanking period" "0,1" newline bitfld.long 0x00 1. "UNDERRUN_EN,Enable Interrupt flag to indicate the output buffer underrun condition" "0,1" bitfld.long 0x00 0. "VSYNC_EN,Enable Interrupt flag to indicate that the vertical synchronization phase(The beginning of a frame)" "0,1" group.long 0x30++0x03 line.long 0x00 "INT_STATUS_D1,Interrupt Status Register for domain 0" eventfld.long 0x00 0. "PLANE_PANIC,Plane panic to indicate that which FIFO reaches the panic threshold" "0,1" group.long 0x34++0x03 line.long 0x00 "INT_ENABLE_D1,Interrupt Enable Register for domain 0" bitfld.long 0x00 0. "PLANE_PANIC_EN,Enable Interrupt flag to indicate that which FIFO in the pixel blending underflowed" "0,1" group.long 0x200++0x03 line.long 0x00 "CTRLDESCL0_1,Control Descriptor Layer Register 1" hexmask.long.word 0x00 16.--31. 1. "HEIGHT,Height of the layer in pixels" hexmask.long.word 0x00 0.--15. 1. "WIDTH,Width of the layer in pixels" group.long 0x208++0x03 line.long 0x00 "CTRLDESCL0_3,Control Descriptor Layer Register 3" bitfld.long 0x00 23. "STATE_CLEAR_VSYNC,When set to 1 buscmd state machine will clear not matter by reset but also beginning of the vsync blank period" "0,1" bitfld.long 0x00 20.--22. "P_SIZE,Payload size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--17. "T_SIZE,Transaction Size" "0,1,2,3" hexmask.long.word 0x00 0.--15. 1. "PITCH,Number of bytes between 2 vertically adjacent pixels in system memory" group.long 0x20C++0x03 line.long 0x00 "CTRLDESCL_LOW0_4,Control Descriptor Layer Register 4" hexmask.long 0x00 0.--31. 1. "ADDR_LOW,Address of layer data in the memory" group.long 0x210++0x03 line.long 0x00 "CTRLDESCL_HIGH0_4,Control Descriptor Layer Register 4" bitfld.long 0x00 0.--3. "ADDR_HIGH,Address of layer data in the memory" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x214++0x03 line.long 0x00 "CTRLDESCL0_5,Control Descriptor Layer Register 5" bitfld.long 0x00 31. "EN,Enable the layer for DMA" "0: EN_0,1: EN_1" bitfld.long 0x00 30. "SHADOW_LOAD_EN,Shadow Load Enable" "0,1" newline bitfld.long 0x00 24.--27. "BPP,Layer encoding format (bit per pixel)" "?,?,?,?,4: 16 bpp (RGB565),5: 16 bpp (ARGB1555),6: 16 bpp (ARGB4444),7: YCbCr422,8: 24 bpp (RGB888),9: 32 bpp (ARGB8888),10: 32 bpp (ABGR8888),?..." bitfld.long 0x00 14.--15. "YUV_FORMAT,The YUV422 input format selection" "0: The YUV422 32bit memory is {Y2 V1 Y1 U1},1: The YUV422 32bit memory is {Y2 U1 Y1 V1},2: The YUV422 32bit memory is {V1 Y2 U1 Y1},3: The YUV422 32bit memory is {U1 Y2 V1 Y1}" group.long 0x21C++0x03 line.long 0x00 "CSC0_CTRL,Color Space Conversion Ctrl Register" bitfld.long 0x00 1.--2. "CSC_MODE,This field controls how the CSC unit operates on pixels when the CSC is not bypassed" "0: Convert from YUV to RGB,1: Convert from YCbCr to RGB,2: Convert from RGB to YUV,3: Convert from RGB to YCbCr" bitfld.long 0x00 0. "BYPASS,This bit controls whether the pixels entering the CSC2 unit get converted or not" "0,1" group.long 0x220++0x03 line.long 0x00 "CSC0_COEF0,Color Space Conversion Coefficient Register 0" hexmask.long.word 0x00 16.--26. 1. "A2,Two's complement coefficient offset" hexmask.long.word 0x00 0.--10. 1. "A1,Two's complement coefficient offset" group.long 0x224++0x03 line.long 0x00 "CSC0_COEF1,Color Space Conversion Coefficient Register 1" hexmask.long.word 0x00 16.--26. 1. "B1,Two's complement coefficient offset" hexmask.long.word 0x00 0.--10. 1. "A3,Two's complement coefficient offset" group.long 0x228++0x03 line.long 0x00 "CSC0_COEF2,Color Space Conversion Coefficient Register 2" hexmask.long.word 0x00 16.--26. 1. "B3,Two's complement coefficient offset" hexmask.long.word 0x00 0.--10. 1. "B2,Two's complement coefficient offset" group.long 0x22C++0x03 line.long 0x00 "CSC0_COEF3,Color Space Conversion Coefficient Register 3" hexmask.long.word 0x00 16.--26. 1. "C2,Two's complement coefficient offset" hexmask.long.word 0x00 0.--10. 1. "C1,Two's complement coefficient offset" group.long 0x230++0x03 line.long 0x00 "CSC0_COEF4,Color Space Conversion Coefficient Register 4" hexmask.long.word 0x00 16.--24. 1. "D1,Two's complement D1 coefficient integer offset to be added" hexmask.long.word 0x00 0.--10. 1. "C3,Two's complement coefficient offset" group.long 0x234++0x03 line.long 0x00 "CSC0_COEF5,Color Space Conversion Coefficient Register 0" hexmask.long.word 0x00 16.--24. 1. "D3,Two's complement D3 coefficient integer offset to be added" hexmask.long.word 0x00 0.--8. 1. "D2,Two's complement D2 coefficient integer offset to be added" group.long 0x238++0x03 line.long 0x00 "PANIC0_THRES,Memory request priority threshold register" hexmask.long.word 0x00 16.--24. 1. "PANIC_THRES_LOW,Panic Threshold Low Value" hexmask.long.word 0x00 0.--8. 1. "PANIC_THRES_HIGH,Panic Threshold High Value" tree.end tree "LPI2C" repeat 8. (list 1. 2. 3. 4. 5. 6. 7. 8.) (list ad:0x44340000 ad:0x44350000 ad:0x42530000 ad:0x42540000 ad:0x426B0000 ad:0x426C0000 ad:0x426D0000 ad:0x426E0000) tree "LPI2C$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" bitfld.long 0x00 8.--11. "MRXFIFO,Master Receive FIFO Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "MTXFIFO,Master Transmit FIFO Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10++0x03 line.long 0x00 "MCR,Master Control" bitfld.long 0x00 9. "RRF,Reset Receive FIFO" "0: NO_EFFECT,1: Receive FIFO is reset" bitfld.long 0x00 8. "RTF,Reset Transmit FIFO" "0: NO_EFFECT,1: Transmit FIFO is reset" newline bitfld.long 0x00 3. "DBGEN,Debug Enable" "0: Master is disabled in debug mode,1: Master is enabled in debug mode" bitfld.long 0x00 2. "DOZEN,Doze mode enable" "0: Master is enabled in Doze mode,1: Master is disabled in Doze mode" newline bitfld.long 0x00 1. "RST,Software Reset" "0: Master logic is not reset,1: Master logic is reset" bitfld.long 0x00 0. "MEN,Master Enable" "0: Master logic is disabled,1: Master logic is enabled" group.long 0x14++0x03 line.long 0x00 "MSR,Master Status" rbitfld.long 0x00 25. "BBF,Bus Busy Flag" "0: I2C Bus is idle,1: I2C Bus is busy" rbitfld.long 0x00 24. "MBF,Master Busy Flag" "0: I2C Master is idle,1: I2C Master is busy" newline eventfld.long 0x00 15. "STF,START Flag" "0: START condition not detected,1: START condition detected" eventfld.long 0x00 14. "DMF,Data Match Flag" "0: Have not received matching data,1: Have received matching data" newline eventfld.long 0x00 13. "PLTF,Pin Low Timeout Flag" "0: Pin low timeout has not occurred or is disabled,1: Pin low timeout has occurred" eventfld.long 0x00 12. "FEF,FIFO Error Flag" "0: No error,1: Master sending or receiving data without a.." newline eventfld.long 0x00 11. "ALF,Arbitration Lost Flag" "0: Master has not lost arbitration,1: Master has lost arbitration" eventfld.long 0x00 10. "NDF,NACK Detect Flag" "0: Unexpected NACK was not detected,1: Unexpected NACK was detected" newline eventfld.long 0x00 9. "SDF,STOP Detect Flag" "0: Master has not generated a STOP condition,1: Master has generated a STOP condition" eventfld.long 0x00 8. "EPF,End Packet Flag" "0: Master has not generated a STOP or Repeated..,1: Master has generated a STOP or Repeated START.." newline rbitfld.long 0x00 1. "RDF,Receive Data Flag" "0: Receive Data is not ready,1: Receive data is ready" rbitfld.long 0x00 0. "TDF,Transmit Data Flag" "0: Transmit data is not requested,1: Transmit data is requested" group.long 0x18++0x03 line.long 0x00 "MIER,Master Interrupt Enable" bitfld.long 0x00 15. "STIE,START Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 14. "DMIE,Data Match Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 13. "PLTIE,Pin Low Timeout Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 12. "FEIE,FIFO Error Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 11. "ALIE,Arbitration Lost Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 10. "NDIE,NACK Detect Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 9. "SDIE,STOP Detect Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 8. "EPIE,End Packet Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 1. "RDIE,Receive Data Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 0. "TDIE,Transmit Data Interrupt Enable" "0: DISABLED,1: ENABLED" group.long 0x1C++0x03 line.long 0x00 "MDER,Master DMA Enable" bitfld.long 0x00 1. "RDDE,Receive Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 0. "TDDE,Transmit Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x20++0x03 line.long 0x00 "MCFGR0,Master Configuration 0" bitfld.long 0x00 17. "ABORT,Abort Transfer" "0: Normal transfer,1: Abort existing transfer and do not start new.." bitfld.long 0x00 16. "RELAX,Relaxed Mode" "0: NORMAL_TRANSFER,1: RELAXED_TRANSFER" newline bitfld.long 0x00 9. "RDMO,Receive Data Match Only" "0: Received data is stored in the receive FIFO,1: Received data is discarded unless the the.." bitfld.long 0x00 8. "CIRFIFO,Circular FIFO Enable" "0: Circular FIFO is disabled,1: Circular FIFO is enabled" newline bitfld.long 0x00 2. "HRSEL,Host Request Select" "?,1: Host request input is input trigger" bitfld.long 0x00 1. "HRPOL,Host Request Polarity" "0: ACTIVE_LOW,1: ACTIVE_HIGH" newline bitfld.long 0x00 0. "HREN,Host Request Enable" "0: Host request input is disabled,1: Host request input is enabled" group.long 0x24++0x03 line.long 0x00 "MCFGR1,Master Configuration 1" bitfld.long 0x00 27. "FRCHS,Force HS-mode" "0: No effect,1: LPI2C pin state forced into HS-mode" bitfld.long 0x00 24.--26. "PINCFG,Pin Configuration" "0: 2-pin open drain mode,1: 2-pin output only mode (ultra-fast mode),2: 2-pin push-pull mode,3: 4-pin push-pull mode,4: 2-pin open drain mode with separate LPI2C slave,5: 2-pin output only mode (ultra-fast mode) with..,6: 2-pin push-pull mode with separate LPI2C slave,7: 4-pin push-pull mode (inverted outputs)" newline bitfld.long 0x00 16.--18. "MATCFG,Match Configuration" "0: Match is disabled,?,2: Match is enabled (1st data word equals..,3: Match is enabled (any data word equals..,4: Match is enabled (1st data word equals..,5: Match is enabled (any data word equals..,6: Match is enabled (1st data word AND..,7: Match is enabled (any data word AND.." bitfld.long 0x00 12. "STARTCFG,START Configuration" "0: MSR[STF] asserts on START condition provided..,1: MSR[STF] asserts on START condition provided.." newline bitfld.long 0x00 11. "STOPCFG,STOP Configuration" "0: MSR[SDF] asserts on any STOP condition..,1: MSR[SDF] asserts on last STOP condition.." bitfld.long 0x00 10. "TIMECFG,Timeout Configuration" "0: MSR[PLTF] sets if SCL is low for longer than..,1: MSR[PLTF] sets if either SCL or SDA is low.." newline bitfld.long 0x00 9. "IGNACK,IGNACK" "0: LPI2C Master receives ACK and NACK normally,1: LPI2C Master treats a received NACK as if it.." bitfld.long 0x00 8. "AUTOSTOP,Automatic STOP Generation" "0: No effect,1: STOP condition is automatically generated.." newline bitfld.long 0x00 0.--2. "PRESCALE,Prescaler" "0: DIVIDE_BY_1,1: DIVIDE_BY_2,2: DIVIDE_BY_4,3: DIVIDE_BY_8,4: DIVIDE_BY_16,5: DIVIDE_BY_32,6: DIVIDE_BY_64,7: DIVIDE_BY_128" group.long 0x28++0x03 line.long 0x00 "MCFGR2,Master Configuration 2" bitfld.long 0x00 24.--27. "FILTSDA,Glitch Filter SDA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "FILTSCL,Glitch Filter SCL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--11. 1. "BUSIDLE,Bus Idle Timeout" group.long 0x2C++0x03 line.long 0x00 "MCFGR3,Master Configuration 3" hexmask.long.word 0x00 8.--19. 1. "PINLOW,Pin Low Timeout" group.long 0x40++0x03 line.long 0x00 "MDMR,Master Data Match" hexmask.long.byte 0x00 16.--23. 1. "MATCH1,Match 1 Value" hexmask.long.byte 0x00 0.--7. 1. "MATCH0,Match 0 Value" repeat 2. (strings "0" "1" )(list 0x0 0x8 ) group.long ($2+0x48)++0x03 line.long 0x00 "MCCR$1,Master Clock Configuration $1" bitfld.long 0x00 24.--29. "DATAVD,Data Valid Delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. "SETHOLD,Setup Hold Delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--13. "CLKHI,Clock High Period" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--5. "CLKLO,Clock Low Period" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end group.long 0x58++0x03 line.long 0x00 "MFCR,Master FIFO Control" bitfld.long 0x00 16.--18. "RXWATER,Receive FIFO Watermark" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "TXWATER,Transmit FIFO Watermark" "0,1,2,3,4,5,6,7" rgroup.long 0x5C++0x03 line.long 0x00 "MFSR,Master FIFO Status" bitfld.long 0x00 16.--19. "RXCOUNT,Receive FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "TXCOUNT,Transmit FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" wgroup.long 0x60++0x03 line.long 0x00 "MTDR,Master Transmit Data" bitfld.long 0x00 8.--10. "CMD,Command Data" "0: TRANSMIT_DATA_7_THROUGH_0,1: RECEIVE_DATA_7_THROUGH_0_PLUS_ONE,2: GENERATE_STOP_CONDITION,3: RECEIVE_AND_DISCARD_DATA_7_THROUGH_0_PLUS_ONE,4: Generate (repeated) START and transmit..,5: Generate (repeated) START and transmit..,6: Generate (repeated) START and transmit..,7: Generate (repeated) START and transmit.." hexmask.long.byte 0x00 0.--7. 1. "DATA,Transmit Data" rgroup.long 0x70++0x03 line.long 0x00 "MRDR,Master Receive Data" bitfld.long 0x00 14. "RXEMPTY,RX Empty" "0: Receive FIFO is not empty,1: Receive FIFO is empty" hexmask.long.byte 0x00 0.--7. 1. "DATA,Receive Data" rgroup.long 0x78++0x03 line.long 0x00 "MRDROR,Master Receive Data Read Only" bitfld.long 0x00 14. "RXEMPTY,RX Empty" "0: Receive FIFO is not empty,1: Receive FIFO is empty" hexmask.long.byte 0x00 0.--7. 1. "DATA,Receive Data" group.long 0x110++0x03 line.long 0x00 "SCR,Slave Control" bitfld.long 0x00 9. "RRF,Reset Receive FIFO" "0: NO_EFFECT,1: Receive Data Register is now empty" bitfld.long 0x00 8. "RTF,Reset Transmit FIFO" "0: NO_EFFECT,1: Transmit Data Register is now empty" newline bitfld.long 0x00 5. "FILTDZ,Filter Doze Enable" "0: Filter remains enabled in Doze mode,1: Filter is disabled in Doze mode" bitfld.long 0x00 4. "FILTEN,Filter Enable" "0: Disable digital filter and output delay..,1: Enable digital filter and output delay.." newline bitfld.long 0x00 1. "RST,Software Reset" "0: Slave mode logic is not reset,1: Slave mode logic is reset" bitfld.long 0x00 0. "SEN,Slave Enable" "0: I2C Slave mode is disabled,1: I2C Slave mode is enabled" group.long 0x114++0x03 line.long 0x00 "SSR,Slave Status" rbitfld.long 0x00 25. "BBF,Bus Busy Flag" "0: I2C Bus is idle,1: I2C Bus is busy" rbitfld.long 0x00 24. "SBF,Slave Busy Flag" "0: I2C Slave is idle,1: I2C Slave is busy" newline rbitfld.long 0x00 15. "SARF,SMBus Alert Response Flag" "0: SMBus Alert Response is disabled or not..,1: SMBus Alert Response is enabled and detected" rbitfld.long 0x00 14. "GCF,General Call Flag" "0: Slave has not detected the General Call..,1: Slave has detected the General Call Address" newline rbitfld.long 0x00 13. "AM1F,Address Match 1 Flag" "0: Have not received an ADDR1 or ADDR0/ADDR1..,1: Have received an ADDR1 or ADDR0/ADDR1 range.." rbitfld.long 0x00 12. "AM0F,Address Match 0 Flag" "0: Have not received an ADDR0 matching address,1: Have received an ADDR0 matching address" newline eventfld.long 0x00 11. "FEF,FIFO Error Flag" "0: FIFO underflow or overflow was not detected,1: FIFO underflow or overflow was detected" eventfld.long 0x00 10. "BEF,Bit Error Flag" "0: Slave has not detected a bit error,1: Slave has detected a bit error" newline eventfld.long 0x00 9. "SDF,STOP Detect Flag" "0: Slave has not detected a STOP condition,1: Slave has detected a STOP condition" eventfld.long 0x00 8. "RSF,Repeated Start Flag" "0: Slave has not detected a Repeated START..,1: Slave has detected a Repeated START condition" newline rbitfld.long 0x00 3. "TAF,Transmit ACK Flag" "0: Transmit ACK/NACK is not required,1: Transmit ACK/NACK is required" rbitfld.long 0x00 2. "AVF,Address Valid Flag" "0: Address Status Register is not valid,1: Address Status Register is valid" newline rbitfld.long 0x00 1. "RDF,Receive Data Flag" "0: Receive data is not ready,1: Receive data is ready" rbitfld.long 0x00 0. "TDF,Transmit Data Flag" "0: Transmit data not requested,1: Transmit data is requested" group.long 0x118++0x03 line.long 0x00 "SIER,Slave Interrupt Enable" bitfld.long 0x00 15. "SARIE,SMBus Alert Response Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 14. "GCIE,General Call Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 13. "AM1IE,Address Match 1 Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 12. "AM0IE,Address Match 0 Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 11. "FEIE,FIFO Error Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 10. "BEIE,Bit Error Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 9. "SDIE,STOP Detect Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 8. "RSIE,Repeated Start Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 3. "TAIE,Transmit ACK Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 2. "AVIE,Address Valid Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 1. "RDIE,Receive Data Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 0. "TDIE,Transmit Data Interrupt Enable" "0: DISABLED,1: ENABLED" group.long 0x11C++0x03 line.long 0x00 "SDER,Slave DMA Enable" bitfld.long 0x00 9. "SDDE,Stop Detect DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 8. "RSDE,Repeated Start DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" newline bitfld.long 0x00 2. "AVDE,Address Valid DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 1. "RDDE,Receive Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" newline bitfld.long 0x00 0. "TDDE,Transmit Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x120++0x03 line.long 0x00 "SCFGR0,Slave Configuration 0" rbitfld.long 0x00 1. "RDACK,Read Acknowledge" "0: Read Request not acknowledged,1: Read Request acknowledged" bitfld.long 0x00 0. "RDREQ,Read Request" "0: Read Request is disabled,1: Read Request is enabled" group.long 0x124++0x03 line.long 0x00 "SCFGR1,Slave Configuration 1" bitfld.long 0x00 26. "SDCFG,Stop Detect Configuration" "0: Any STOP condition following an address match,1: Any STOP condition" bitfld.long 0x00 25. "RSCFG,Repeated Start Configuration" "0: Any Repeated START condition following an..,1: Any Repeated START condition" newline bitfld.long 0x00 24. "RXALL,Receive All" "0: Receive all disabled,1: Receive all enabled" bitfld.long 0x00 16.--18. "ADDRCFG,Address Configuration" "0: Address match 0 (7-bit),1: Address match 0 (10-bit),2: Address match 0 (7-bit) or Address match 1..,3: Address match 0 (10-bit) or Address match 1..,4: Address match 0 (7-bit) or Address match 1..,5: Address match 0 (10-bit) or Address match 1..,6: From Address match 0 (7-bit) to Address match..,7: From Address match 0 (10-bit) to Address.." newline bitfld.long 0x00 13. "HSMEN,High Speed Mode Enable" "0: Disables detection of HS-mode master code,1: Enables detection of HS-mode master code" bitfld.long 0x00 12. "IGNACK,Ignore NACK" "0: Slave ends transfer when NACK is detected,1: Slave does not end transfer when NACK detected" newline bitfld.long 0x00 11. "RXCFG,Receive Data Configuration" "0: Reading the Receive Data register returns..,1: Reading the Receive Data register when the.." bitfld.long 0x00 10. "TXCFG,Transmit Flag Configuration" "0: Transmit Data Flag only asserts during a..,1: Transmit Data Flag asserts whenever the.." newline bitfld.long 0x00 9. "SAEN,SMBus Alert Enable" "0: Disables match on SMBus Alert,1: Enables match on SMBus Alert" bitfld.long 0x00 8. "GCEN,General Call Enable" "0: General Call address is disabled,1: General Call address is enabled" newline bitfld.long 0x00 4. "RXNACK,Receive NACK" "0: ACK/NACK always set by TXNACK,1: NACK always generated on address overrun or.." bitfld.long 0x00 3. "ACKSTALL,ACK SCL Stall" "0: Clock stretching is disabled,1: Clock stretching is enabled" newline bitfld.long 0x00 2. "TXDSTALL,TX Data SCL Stall" "0: Clock stretching is disabled,1: Clock stretching is enabled" bitfld.long 0x00 1. "RXSTALL,RX SCL Stall" "0: Clock stretching is disabled,1: Clock stretching is enabled" newline bitfld.long 0x00 0. "ADRSTALL,Address SCL Stall" "0: Clock stretching is disabled,1: Clock stretching is enabled" group.long 0x128++0x03 line.long 0x00 "SCFGR2,Slave Configuration 2" bitfld.long 0x00 24.--27. "FILTSDA,Glitch Filter SDA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "FILTSCL,Glitch Filter SCL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--13. "DATAVD,Data Valid Delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--3. "CLKHOLD,Clock Hold Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x140++0x03 line.long 0x00 "SAMR,Slave Address Match" hexmask.long.word 0x00 17.--26. 1. "ADDR1,Address 1 Value" hexmask.long.word 0x00 1.--10. 1. "ADDR0,Address 0 Value" rgroup.long 0x150++0x03 line.long 0x00 "SASR,Slave Address Status" bitfld.long 0x00 14. "ANV,Address Not Valid" "0: Received Address (RADDR) is valid,1: Received Address (RADDR) is not valid" hexmask.long.word 0x00 0.--10. 1. "RADDR,Received Address" group.long 0x154++0x03 line.long 0x00 "STAR,Slave Transmit ACK" bitfld.long 0x00 0. "TXNACK,Transmit NACK" "0: Write a Transmit ACK for each received word,1: Write a Transmit NACK for each received word" wgroup.long 0x160++0x03 line.long 0x00 "STDR,Slave Transmit Data" hexmask.long.byte 0x00 0.--7. 1. "DATA,Transmit Data" rgroup.long 0x170++0x03 line.long 0x00 "SRDR,Slave Receive Data" bitfld.long 0x00 15. "SOF,Start Of Frame" "0: Indicates this is not the first data word..,1: Indicates this is the first data word since a.." bitfld.long 0x00 14. "RXEMPTY,RX Empty" "0: The Receive Data Register is not empty,1: The Receive Data Register is empty" newline bitfld.long 0x00 8.--10. "RADDR,Received Address" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--7. 1. "DATA,Receive Data" rgroup.long 0x178++0x03 line.long 0x00 "SRDROR,Slave Receive Data Read Only" bitfld.long 0x00 15. "SOF,Start Of Frame" "0: Indicates this is not the first data word..,1: Indicates this is the first data word since a.." bitfld.long 0x00 14. "RXEMPTY,RX Empty" "0: The Receive Data Register is not empty,1: The Receive Data Register is empty" newline bitfld.long 0x00 8.--10. "RADDR,Received Address" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--7. 1. "DATA,Receive Data" repeat 128. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x200)++0x03 line.long 0x00 "MTCBR[$1],Master Transmit Command Burst $1" bitfld.long 0x00 8.--10. "CMD,Command" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--7. 1. "DATA,Data" repeat.end repeat 256. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x400)++0x03 line.long 0x00 "MTDBR[$1],Transmit Data Burst $1" hexmask.long.byte 0x00 24.--31. 1. "DATA3,Data" hexmask.long.byte 0x00 16.--23. 1. "DATA2,Data" newline hexmask.long.byte 0x00 8.--15. 1. "DATA1,Data" hexmask.long.byte 0x00 0.--7. 1. "DATA0,Data" repeat.end tree.end repeat.end tree.end tree "LPIT" repeat 2. (list 1. 2.) (list ad:0x442F0000 ad:0x424C0000) tree "LPIT$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" hexmask.long.byte 0x00 8.--15. 1. "EXT_TRIG,Number of External Trigger Inputs" hexmask.long.byte 0x00 0.--7. 1. "CHANNEL,Number of Timer Channels" group.long 0x08++0x03 line.long 0x00 "MCR,Module Control" bitfld.long 0x00 3. "DBG_EN,Debug Mode Enable" "0: Stop timer channels in Debug mode,1: Allow timer channels to continue to run in.." bitfld.long 0x00 2. "DOZE_EN,DOZE Mode Enable" "0: Stop timer channels in DOZE mode,1: Allow timer channels to continue to run in.." newline bitfld.long 0x00 1. "SW_RST,Software Reset" "0: Timer channels and registers are not reset,1: Reset timer channels and registers" bitfld.long 0x00 0. "M_CEN,Module Clock Enable" "0: Disable peripheral clock to timers,1: Enable peripheral clock to timers" group.long 0x0C++0x03 line.long 0x00 "MSR,Module Status" eventfld.long 0x00 3. "TIF3,Channel 3 Timer Interrupt Flag" "0: Timer has not timed out,1: Timeout has occurred (timer has timed out)" eventfld.long 0x00 2. "TIF2,Channel 2 Timer Interrupt Flag" "0: Timer has not timed out,1: Timeout has occurred (timer has timed out)" newline eventfld.long 0x00 1. "TIF1,Channel 1 Timer Interrupt Flag" "0: Timer has not timed out,1: Timeout has occurred (timer has timed out)" eventfld.long 0x00 0. "TIF0,Channel 0 Timer Interrupt Flag" "0: Timer has not timed out,1: Timeout has occurred (timer has timed out)" group.long 0x10++0x03 line.long 0x00 "MIER,Module Interrupt Enable" bitfld.long 0x00 3. "TIE3,Channel 3 Timer Interrupt Enable" "0: Disabled,1: Enabled" bitfld.long 0x00 2. "TIE2,Channel 2 Timer Interrupt Enable" "0: Disabled,1: Enabled" newline bitfld.long 0x00 1. "TIE1,Channel 1 Timer Interrupt Enable" "0: Disabled,1: Enabled" bitfld.long 0x00 0. "TIE0,Channel 0 Timer Interrupt Enable" "0: Disabled,1: Enabled" group.long 0x14++0x03 line.long 0x00 "SETTEN,Set Timer Enable" bitfld.long 0x00 3. "SET_T_EN_3,Set Timer 3 Enable" "0: No effect,1: Enables Timer Channel 3" bitfld.long 0x00 2. "SET_T_EN_2,Set Timer 2 Enable" "0: No Effect,1: Enables Timer Channel 2" newline bitfld.long 0x00 1. "SET_T_EN_1,Set Timer 1 Enable" "0: No Effect,1: Enables Timer Channel 1" bitfld.long 0x00 0. "SET_T_EN_0,Set Timer 0 Enable" "0: No effect,1: Enables Timer Channel 0" group.long 0x18++0x03 line.long 0x00 "CLRTEN,Clear Timer Enable" bitfld.long 0x00 3. "CLR_T_EN_3,Clear Timer 3 Enable" "0: No Action,1: Clear the Timer Enable bit (TCTRL3[T_EN]) for.." bitfld.long 0x00 2. "CLR_T_EN_2,Clear Timer 2 Enable" "0: No Action,1: Clear the Timer Enable bit (TCTRL2[T_EN]) for.." newline bitfld.long 0x00 1. "CLR_T_EN_1,Clear Timer 1 Enable" "0: No Action,1: Clear the Timer Enable bit (TCTRL1[T_EN]) for.." bitfld.long 0x00 0. "CLR_T_EN_0,Clear Timer 0 Enable" "0: No action,1: Clear the Timer Enable bit (TCTRL0[T_EN]) for.." rgroup.long 0x1C++0x03 line.long 0x00 "RSVD,Reserved" repeat 4. (increment 0 1)(increment 0 0x10) tree "CHANNEL[$1]" group.long ($2+0x20)++0x03 line.long 0x00 "TVAL,Timer Value" hexmask.long 0x00 0.--31. 1. "TMR_VAL,Timer Value" rgroup.long ($2+0x24)++0x03 line.long 0x00 "CVAL,Current Timer Value" hexmask.long 0x00 0.--31. 1. "TMR_CUR_VAL,Current Timer Value" group.long ($2+0x28)++0x03 line.long 0x00 "TCTRL,Timer Control" bitfld.long 0x00 24.--27. "TRG_SEL,Trigger Select" "0: Timer channel 0 - 3 trigger source is selected,1: Timer channel 0 - 3 trigger source is selected,2: Timer channel 0 - 3 trigger source is selected,3: Timer channel 0 - 3 trigger source is selected,?..." bitfld.long 0x00 23. "TRG_SRC,Trigger Source" "0: Selects external triggers,1: Selects internal triggers" newline bitfld.long 0x00 18. "TROT,Timer Reload On Trigger" "0: Timer will not reload on the selected trigger,1: Timer will reload on the selected trigger" bitfld.long 0x00 17. "TSOI,Timer Stop On Interrupt" "0: The channel timer does not stop after timeout,1: The channel timer will stop after a timeout.." newline bitfld.long 0x00 16. "TSOT,Timer Start On Trigger" "0: Timer starts to decrement immediately based..,1: Timer starts to decrement when a rising edge.." bitfld.long 0x00 2.--3. "MODE,Timer Operation Mode" "0: 32-bit Periodic Counter,1: Dual 16-bit Periodic Counter,2: 32-bit Trigger Accumulator,3: 32-bit Trigger Input Capture" newline bitfld.long 0x00 1. "CHAIN,Chain Channel" "0: Channel Chaining is disabled,1: Channel Chaining is enabled" bitfld.long 0x00 0. "T_EN,Timer Enable" "0: Timer Channel is disabled,1: Timer Channel is enabled" rgroup.long ($2+0x2C)++0x03 line.long 0x00 "RFU,Reserved for Future Use" tree.end repeat.end tree.end repeat.end tree.end tree "LPSPI" repeat 3. (list 1. 2. 3.) (list ad:0x44360000 ad:0x44370000 ad:0x42550000) tree "LPSPI$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Module Identification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" hexmask.long.byte 0x00 16.--23. 1. "PCSNUM,PCS Number" hexmask.long.byte 0x00 8.--15. 1. "RXFIFO,Receive FIFO Size" newline hexmask.long.byte 0x00 0.--7. 1. "TXFIFO,Transmit FIFO Size" group.long 0x10++0x03 line.long 0x00 "CR,Control" bitfld.long 0x00 9. "RRF,Reset Receive FIFO" "0: NO_EFFECT,1: Reset the Receive FIFO" bitfld.long 0x00 8. "RTF,Reset Transmit FIFO" "0: NO_EFFECT,1: Reset the Transmit FIFO" newline bitfld.long 0x00 3. "DBGEN,Debug Enable" "0: LPSPI module is disabled when the CPU is halted,1: LPSPI module is enabled in debug mode" bitfld.long 0x00 2. "DOZEN,Doze Mode Enable" "0: LPSPI module is enabled in Doze mode,1: LPSPI module is disabled in Doze mode" newline bitfld.long 0x00 1. "RST,Software Reset" "0: Module is not reset,1: Module is reset" bitfld.long 0x00 0. "MEN,Module Enable" "0: Module is disabled,1: Module is enabled" group.long 0x14++0x03 line.long 0x00 "SR,Status" rbitfld.long 0x00 24. "MBF,Module Busy Flag" "0: LPSPI is idle,1: LPSPI is busy" eventfld.long 0x00 13. "DMF,Data Match Flag" "0: Have not received matching data,1: Have received matching data" newline eventfld.long 0x00 12. "REF,Receive Error Flag" "0: Receive FIFO has not overflowed,1: Receive FIFO has overflowed" eventfld.long 0x00 11. "TEF,Transmit Error Flag" "0: Transmit FIFO underrun has not occurred,1: Transmit FIFO underrun has occurred" newline eventfld.long 0x00 10. "TCF,Transfer Complete Flag" "0: All transfers have not completed,1: All transfers have completed" eventfld.long 0x00 9. "FCF,Frame Complete Flag" "0: Frame transfer has not completed,1: Frame transfer has completed" newline eventfld.long 0x00 8. "WCF,Word Complete Flag" "0: Transfer of a received word has not yet..,1: Transfer of a received word has completed" rbitfld.long 0x00 1. "RDF,Receive Data Flag" "0: Receive Data is not ready,1: Receive data is ready" newline rbitfld.long 0x00 0. "TDF,Transmit Data Flag" "0: Transmit data not requested,1: Transmit data is requested" group.long 0x18++0x03 line.long 0x00 "IER,Interrupt Enable" bitfld.long 0x00 13. "DMIE,Data Match Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 12. "REIE,Receive Error Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 11. "TEIE,Transmit Error Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 10. "TCIE,Transfer Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 9. "FCIE,Frame Complete Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 8. "WCIE,Word Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 1. "RDIE,Receive Data Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 0. "TDIE,Transmit Data Interrupt Enable" "0: DISABLED,1: ENABLED" group.long 0x1C++0x03 line.long 0x00 "DER,DMA Enable" bitfld.long 0x00 9. "FCDE,Frame Complete DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 1. "RDDE,Receive Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" newline bitfld.long 0x00 0. "TDDE,Transmit Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x20++0x03 line.long 0x00 "CFGR0,Configuration 0" bitfld.long 0x00 9. "RDMO,Receive Data Match Only" "0: Received data is stored in the receive FIFO..,1: Received data is discarded unless the SR[DMF].." bitfld.long 0x00 8. "CIRFIFO,Circular FIFO Enable" "0: Circular FIFO is disabled,1: Circular FIFO is enabled" group.long 0x24++0x03 line.long 0x00 "CFGR1,Configuration 1" bitfld.long 0x00 26. "OUTCFG,Output Configuration" "0: Output data retains last value when chip..,1: Output data is tristated when chip select is.." bitfld.long 0x00 24.--25. "PINCFG,Pin Configuration" "0: SIN is used for input data and SOUT is used..,1: SIN is used for both input and output data..,2: SOUT is used for both input and output data..,3: SOUT is used for input data and SIN is used.." newline bitfld.long 0x00 16.--18. "MATCFG,Match Configuration" "0: Match is disabled,?,2: Match is enabled is 1st data word is MATCH0..,3: Match is enabled on any data word equal..,4: Match is enabled on data match sequence,5: Match is enabled on data match sequence,6: ENABLED_DATAMATCH_110,7: ENABLED_DATAMATCH_111" bitfld.long 0x00 8.--9. "PCSPOL,Peripheral Chip Select Polarity" "0,1,2,3" newline bitfld.long 0x00 4. "PARTIAL,Partial Enable" "0: Partial words in the receive shift register..,1: Partial words in the receive shift register.." bitfld.long 0x00 3. "NOSTALL,No Stall" "0: Transfers stall when the transmit FIFO is..,1: Transfers do not stall allowing transmit FIFO.." newline bitfld.long 0x00 2. "AUTOPCS,Automatic PCS" "0: Automatic PCS generation is disabled,1: Automatic PCS generation is enabled" bitfld.long 0x00 1. "SAMPLE,Sample Point" "0: Input data is sampled on SCK edge,1: Input data is sampled on delayed SCK edge" newline bitfld.long 0x00 0. "MASTER,Master Mode" "0: SLAVE_MODE,1: MASTER_MODE" group.long 0x30++0x03 line.long 0x00 "DMR0,Data Match 0" hexmask.long 0x00 0.--31. 1. "MATCH0,Match 0 Value" group.long 0x34++0x03 line.long 0x00 "DMR1,Data Match 1" hexmask.long 0x00 0.--31. 1. "MATCH1,Match 1 Value" group.long 0x40++0x03 line.long 0x00 "CCR,Clock Configuration" hexmask.long.byte 0x00 24.--31. 1. "SCKPCS,SCK-to-PCS Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSSCK,PCS-to-SCK Delay" newline hexmask.long.byte 0x00 8.--15. 1. "DBT,Delay Between Transfers" hexmask.long.byte 0x00 0.--7. 1. "SCKDIV,SCK Divider" group.long 0x44++0x03 line.long 0x00 "CCR1,Clock Configuration 1" hexmask.long.byte 0x00 24.--31. 1. "SCKSCK,SCK Inter-Frame Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSPCS,PCS to PCS delay" newline hexmask.long.byte 0x00 8.--15. 1. "SCKHLD,SCK Hold" hexmask.long.byte 0x00 0.--7. 1. "SCKSET,SCK Setup" group.long 0x58++0x03 line.long 0x00 "FCR,FIFO Control" bitfld.long 0x00 16.--18. "RXWATER,Receive FIFO Watermark" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "TXWATER,Transmit FIFO Watermark" "0,1,2,3,4,5,6,7" rgroup.long 0x5C++0x03 line.long 0x00 "FSR,FIFO Status" bitfld.long 0x00 16.--19. "RXCOUNT,Receive FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "TXCOUNT,Transmit FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60++0x03 line.long 0x00 "TCR,Transmit Command" bitfld.long 0x00 31. "CPOL,Clock Polarity" "0: The inactive state value of SCK is low,1: The inactive state value of SCK is high" bitfld.long 0x00 30. "CPHA,Clock Phase" "0: CAPTURED,1: CHANGED" newline bitfld.long 0x00 27.--29. "PRESCALE,Prescaler Value" "0: Divide by 1,1: Divide by 2,2: Divide by 4,3: Divide by 8,4: Divide by 16,5: Divide by 32,6: Divide by 64,7: Divide by 128" bitfld.long 0x00 24. "PCS,Peripheral Chip Select" "0: Transfer using PCS[0],1: Transfer using PCS[1]" newline bitfld.long 0x00 23. "LSBF,LSB First" "0: Data is transferred MSB first,1: Data is transferred LSB first" bitfld.long 0x00 22. "BYSW,Byte Swap" "0: Byte swap is disabled,1: Byte swap is enabled" newline bitfld.long 0x00 21. "CONT,Continuous Transfer" "0: Continuous transfer is disabled,1: Continuous transfer is enabled" bitfld.long 0x00 20. "CONTC,Continuing Command" "0: Command word for start of new transfer,1: Command word for continuing transfer" newline bitfld.long 0x00 19. "RXMSK,Receive Data Mask" "0: Normal transfer,1: Receive data is masked" bitfld.long 0x00 18. "TXMSK,Transmit Data Mask" "0: Normal transfer,1: Mask transmit data" newline hexmask.long.word 0x00 0.--11. 1. "FRAMESZ,Frame Size" wgroup.long 0x64++0x03 line.long 0x00 "TDR,Transmit Data" hexmask.long 0x00 0.--31. 1. "DATA,Transmit Data" rgroup.long 0x70++0x03 line.long 0x00 "RSR,Receive Status" bitfld.long 0x00 1. "RXEMPTY,RX FIFO Empty" "0: RX FIFO is not empty,1: RX FIFO is empty" bitfld.long 0x00 0. "SOF,Start Of Frame" "0: Subsequent data word received after PCS..,1: First data word received after PCS assertion" rgroup.long 0x74++0x03 line.long 0x00 "RDR,Receive Data" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" rgroup.long 0x78++0x03 line.long 0x00 "RDROR,Receive Data Read Only" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" wgroup.long 0x3FC++0x03 line.long 0x00 "TCBR,Transmit Command Burst" hexmask.long 0x00 0.--31. 1. "DATA,Command Data" repeat 128. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x400)++0x03 line.long 0x00 "TDBR[$1],Transmit Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x600)++0x03 line.long 0x00 "RDBR[$1],Receive Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end tree.end repeat.end tree "LPSPI4" base ad:0x42560000 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Module Identification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" hexmask.long.byte 0x00 16.--23. 1. "PCSNUM,PCS Number" hexmask.long.byte 0x00 8.--15. 1. "RXFIFO,Receive FIFO Size" newline hexmask.long.byte 0x00 0.--7. 1. "TXFIFO,Transmit FIFO Size" group.long 0x10++0x03 line.long 0x00 "CR,Control" bitfld.long 0x00 9. "RRF,Reset Receive FIFO" "0: NO_EFFECT,1: Reset the Receive FIFO" bitfld.long 0x00 8. "RTF,Reset Transmit FIFO" "0: NO_EFFECT,1: Reset the Transmit FIFO" newline bitfld.long 0x00 3. "DBGEN,Debug Enable" "0: LPSPI module is disabled when the CPU is halted,1: LPSPI module is enabled in debug mode" bitfld.long 0x00 2. "DOZEN,Doze Mode Enable" "0: LPSPI module is enabled in Doze mode,1: LPSPI module is disabled in Doze mode" newline bitfld.long 0x00 1. "RST,Software Reset" "0: Module is not reset,1: Module is reset" bitfld.long 0x00 0. "MEN,Module Enable" "0: Module is disabled,1: Module is enabled" group.long 0x14++0x03 line.long 0x00 "SR,Status" rbitfld.long 0x00 24. "MBF,Module Busy Flag" "0: LPSPI is idle,1: LPSPI is busy" eventfld.long 0x00 13. "DMF,Data Match Flag" "0: Have not received matching data,1: Have received matching data" newline eventfld.long 0x00 12. "REF,Receive Error Flag" "0: Receive FIFO has not overflowed,1: Receive FIFO has overflowed" eventfld.long 0x00 11. "TEF,Transmit Error Flag" "0: Transmit FIFO underrun has not occurred,1: Transmit FIFO underrun has occurred" newline eventfld.long 0x00 10. "TCF,Transfer Complete Flag" "0: All transfers have not completed,1: All transfers have completed" eventfld.long 0x00 9. "FCF,Frame Complete Flag" "0: Frame transfer has not completed,1: Frame transfer has completed" newline eventfld.long 0x00 8. "WCF,Word Complete Flag" "0: Transfer of a received word has not yet..,1: Transfer of a received word has completed" rbitfld.long 0x00 1. "RDF,Receive Data Flag" "0: Receive Data is not ready,1: Receive data is ready" newline rbitfld.long 0x00 0. "TDF,Transmit Data Flag" "0: Transmit data not requested,1: Transmit data is requested" group.long 0x18++0x03 line.long 0x00 "IER,Interrupt Enable" bitfld.long 0x00 13. "DMIE,Data Match Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 12. "REIE,Receive Error Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 11. "TEIE,Transmit Error Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 10. "TCIE,Transfer Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 9. "FCIE,Frame Complete Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 8. "WCIE,Word Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 1. "RDIE,Receive Data Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 0. "TDIE,Transmit Data Interrupt Enable" "0: DISABLED,1: ENABLED" group.long 0x1C++0x03 line.long 0x00 "DER,DMA Enable" bitfld.long 0x00 9. "FCDE,Frame Complete DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 1. "RDDE,Receive Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" newline bitfld.long 0x00 0. "TDDE,Transmit Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x20++0x03 line.long 0x00 "CFGR0,Configuration 0" bitfld.long 0x00 9. "RDMO,Receive Data Match Only" "0: Received data is stored in the receive FIFO..,1: Received data is discarded unless the SR[DMF].." bitfld.long 0x00 8. "CIRFIFO,Circular FIFO Enable" "0: Circular FIFO is disabled,1: Circular FIFO is enabled" group.long 0x24++0x03 line.long 0x00 "CFGR1,Configuration 1" bitfld.long 0x00 26. "OUTCFG,Output Configuration" "0: Output data retains last value when chip..,1: Output data is tristated when chip select is.." bitfld.long 0x00 24.--25. "PINCFG,Pin Configuration" "0: SIN is used for input data and SOUT is used..,1: SIN is used for both input and output data..,2: SOUT is used for both input and output data..,3: SOUT is used for input data and SIN is used.." newline bitfld.long 0x00 16.--18. "MATCFG,Match Configuration" "0: Match is disabled,?,2: Match is enabled is 1st data word is MATCH0..,3: Match is enabled on any data word equal..,4: Match is enabled on data match sequence,5: Match is enabled on data match sequence,6: ENABLED_DATAMATCH_110,7: ENABLED_DATAMATCH_111" bitfld.long 0x00 8.--10. "PCSPOL,Peripheral Chip Select Polarity" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4. "PARTIAL,Partial Enable" "0: Partial words in the receive shift register..,1: Partial words in the receive shift register.." bitfld.long 0x00 3. "NOSTALL,No Stall" "0: Transfers stall when the transmit FIFO is..,1: Transfers do not stall allowing transmit FIFO.." newline bitfld.long 0x00 2. "AUTOPCS,Automatic PCS" "0: Automatic PCS generation is disabled,1: Automatic PCS generation is enabled" bitfld.long 0x00 1. "SAMPLE,Sample Point" "0: Input data is sampled on SCK edge,1: Input data is sampled on delayed SCK edge" newline bitfld.long 0x00 0. "MASTER,Master Mode" "0: SLAVE_MODE,1: MASTER_MODE" group.long 0x30++0x03 line.long 0x00 "DMR0,Data Match 0" hexmask.long 0x00 0.--31. 1. "MATCH0,Match 0 Value" group.long 0x34++0x03 line.long 0x00 "DMR1,Data Match 1" hexmask.long 0x00 0.--31. 1. "MATCH1,Match 1 Value" group.long 0x40++0x03 line.long 0x00 "CCR,Clock Configuration" hexmask.long.byte 0x00 24.--31. 1. "SCKPCS,SCK-to-PCS Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSSCK,PCS-to-SCK Delay" newline hexmask.long.byte 0x00 8.--15. 1. "DBT,Delay Between Transfers" hexmask.long.byte 0x00 0.--7. 1. "SCKDIV,SCK Divider" group.long 0x44++0x03 line.long 0x00 "CCR1,Clock Configuration 1" hexmask.long.byte 0x00 24.--31. 1. "SCKSCK,SCK Inter-Frame Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSPCS,PCS to PCS delay" newline hexmask.long.byte 0x00 8.--15. 1. "SCKHLD,SCK Hold" hexmask.long.byte 0x00 0.--7. 1. "SCKSET,SCK Setup" group.long 0x58++0x03 line.long 0x00 "FCR,FIFO Control" bitfld.long 0x00 16.--18. "RXWATER,Receive FIFO Watermark" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "TXWATER,Transmit FIFO Watermark" "0,1,2,3,4,5,6,7" rgroup.long 0x5C++0x03 line.long 0x00 "FSR,FIFO Status" bitfld.long 0x00 16.--19. "RXCOUNT,Receive FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "TXCOUNT,Transmit FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60++0x03 line.long 0x00 "TCR,Transmit Command" bitfld.long 0x00 31. "CPOL,Clock Polarity" "0: The inactive state value of SCK is low,1: The inactive state value of SCK is high" bitfld.long 0x00 30. "CPHA,Clock Phase" "0: CAPTURED,1: CHANGED" newline bitfld.long 0x00 27.--29. "PRESCALE,Prescaler Value" "0: Divide by 1,1: Divide by 2,2: Divide by 4,3: Divide by 8,4: Divide by 16,5: Divide by 32,6: Divide by 64,7: Divide by 128" bitfld.long 0x00 24.--25. "PCS,Peripheral Chip Select" "0: Transfer using PCS[0],1: Transfer using PCS[1],2: Transfer using PCS[2],?..." newline bitfld.long 0x00 23. "LSBF,LSB First" "0: Data is transferred MSB first,1: Data is transferred LSB first" bitfld.long 0x00 22. "BYSW,Byte Swap" "0: Byte swap is disabled,1: Byte swap is enabled" newline bitfld.long 0x00 21. "CONT,Continuous Transfer" "0: Continuous transfer is disabled,1: Continuous transfer is enabled" bitfld.long 0x00 20. "CONTC,Continuing Command" "0: Command word for start of new transfer,1: Command word for continuing transfer" newline bitfld.long 0x00 19. "RXMSK,Receive Data Mask" "0: Normal transfer,1: Receive data is masked" bitfld.long 0x00 18. "TXMSK,Transmit Data Mask" "0: Normal transfer,1: Mask transmit data" newline hexmask.long.word 0x00 0.--11. 1. "FRAMESZ,Frame Size" wgroup.long 0x64++0x03 line.long 0x00 "TDR,Transmit Data" hexmask.long 0x00 0.--31. 1. "DATA,Transmit Data" rgroup.long 0x70++0x03 line.long 0x00 "RSR,Receive Status" bitfld.long 0x00 1. "RXEMPTY,RX FIFO Empty" "0: RX FIFO is not empty,1: RX FIFO is empty" bitfld.long 0x00 0. "SOF,Start Of Frame" "0: Subsequent data word received after PCS..,1: First data word received after PCS assertion" rgroup.long 0x74++0x03 line.long 0x00 "RDR,Receive Data" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" rgroup.long 0x78++0x03 line.long 0x00 "RDROR,Receive Data Read Only" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" wgroup.long 0x3FC++0x03 line.long 0x00 "TCBR,Transmit Command Burst" hexmask.long 0x00 0.--31. 1. "DATA,Command Data" repeat 128. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x400)++0x03 line.long 0x00 "TDBR[$1],Transmit Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x600)++0x03 line.long 0x00 "RDBR[$1],Receive Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end tree.end repeat 4. (list 5. 6. 7. 8.) (list ad:0x426F0000 ad:0x42700000 ad:0x42710000 ad:0x42720000) tree "LPSPI$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Module Identification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" hexmask.long.byte 0x00 16.--23. 1. "PCSNUM,PCS Number" hexmask.long.byte 0x00 8.--15. 1. "RXFIFO,Receive FIFO Size" newline hexmask.long.byte 0x00 0.--7. 1. "TXFIFO,Transmit FIFO Size" group.long 0x10++0x03 line.long 0x00 "CR,Control" bitfld.long 0x00 9. "RRF,Reset Receive FIFO" "0: NO_EFFECT,1: Reset the Receive FIFO" bitfld.long 0x00 8. "RTF,Reset Transmit FIFO" "0: NO_EFFECT,1: Reset the Transmit FIFO" newline bitfld.long 0x00 3. "DBGEN,Debug Enable" "0: LPSPI module is disabled when the CPU is halted,1: LPSPI module is enabled in debug mode" bitfld.long 0x00 2. "DOZEN,Doze Mode Enable" "0: LPSPI module is enabled in Doze mode,1: LPSPI module is disabled in Doze mode" newline bitfld.long 0x00 1. "RST,Software Reset" "0: Module is not reset,1: Module is reset" bitfld.long 0x00 0. "MEN,Module Enable" "0: Module is disabled,1: Module is enabled" group.long 0x14++0x03 line.long 0x00 "SR,Status" rbitfld.long 0x00 24. "MBF,Module Busy Flag" "0: LPSPI is idle,1: LPSPI is busy" eventfld.long 0x00 13. "DMF,Data Match Flag" "0: Have not received matching data,1: Have received matching data" newline eventfld.long 0x00 12. "REF,Receive Error Flag" "0: Receive FIFO has not overflowed,1: Receive FIFO has overflowed" eventfld.long 0x00 11. "TEF,Transmit Error Flag" "0: Transmit FIFO underrun has not occurred,1: Transmit FIFO underrun has occurred" newline eventfld.long 0x00 10. "TCF,Transfer Complete Flag" "0: All transfers have not completed,1: All transfers have completed" eventfld.long 0x00 9. "FCF,Frame Complete Flag" "0: Frame transfer has not completed,1: Frame transfer has completed" newline eventfld.long 0x00 8. "WCF,Word Complete Flag" "0: Transfer of a received word has not yet..,1: Transfer of a received word has completed" rbitfld.long 0x00 1. "RDF,Receive Data Flag" "0: Receive Data is not ready,1: Receive data is ready" newline rbitfld.long 0x00 0. "TDF,Transmit Data Flag" "0: Transmit data not requested,1: Transmit data is requested" group.long 0x18++0x03 line.long 0x00 "IER,Interrupt Enable" bitfld.long 0x00 13. "DMIE,Data Match Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 12. "REIE,Receive Error Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 11. "TEIE,Transmit Error Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 10. "TCIE,Transfer Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 9. "FCIE,Frame Complete Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 8. "WCIE,Word Complete Interrupt Enable" "0: DISABLED,1: ENABLED" newline bitfld.long 0x00 1. "RDIE,Receive Data Interrupt Enable" "0: DISABLED,1: ENABLED" bitfld.long 0x00 0. "TDIE,Transmit Data Interrupt Enable" "0: DISABLED,1: ENABLED" group.long 0x1C++0x03 line.long 0x00 "DER,DMA Enable" bitfld.long 0x00 9. "FCDE,Frame Complete DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" bitfld.long 0x00 1. "RDDE,Receive Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" newline bitfld.long 0x00 0. "TDDE,Transmit Data DMA Enable" "0: DMA request is disabled,1: DMA request is enabled" group.long 0x20++0x03 line.long 0x00 "CFGR0,Configuration 0" bitfld.long 0x00 9. "RDMO,Receive Data Match Only" "0: Received data is stored in the receive FIFO..,1: Received data is discarded unless the SR[DMF].." bitfld.long 0x00 8. "CIRFIFO,Circular FIFO Enable" "0: Circular FIFO is disabled,1: Circular FIFO is enabled" group.long 0x24++0x03 line.long 0x00 "CFGR1,Configuration 1" bitfld.long 0x00 26. "OUTCFG,Output Configuration" "0: Output data retains last value when chip..,1: Output data is tristated when chip select is.." bitfld.long 0x00 24.--25. "PINCFG,Pin Configuration" "0: SIN is used for input data and SOUT is used..,1: SIN is used for both input and output data..,2: SOUT is used for both input and output data..,3: SOUT is used for input data and SIN is used.." newline bitfld.long 0x00 16.--18. "MATCFG,Match Configuration" "0: Match is disabled,?,2: Match is enabled is 1st data word is MATCH0..,3: Match is enabled on any data word equal..,4: Match is enabled on data match sequence,5: Match is enabled on data match sequence,6: ENABLED_DATAMATCH_110,7: ENABLED_DATAMATCH_111" bitfld.long 0x00 8.--9. "PCSPOL,Peripheral Chip Select Polarity" "0,1,2,3" newline bitfld.long 0x00 4. "PARTIAL,Partial Enable" "0: Partial words in the receive shift register..,1: Partial words in the receive shift register.." bitfld.long 0x00 3. "NOSTALL,No Stall" "0: Transfers stall when the transmit FIFO is..,1: Transfers do not stall allowing transmit FIFO.." newline bitfld.long 0x00 2. "AUTOPCS,Automatic PCS" "0: Automatic PCS generation is disabled,1: Automatic PCS generation is enabled" bitfld.long 0x00 1. "SAMPLE,Sample Point" "0: Input data is sampled on SCK edge,1: Input data is sampled on delayed SCK edge" newline bitfld.long 0x00 0. "MASTER,Master Mode" "0: SLAVE_MODE,1: MASTER_MODE" group.long 0x30++0x03 line.long 0x00 "DMR0,Data Match 0" hexmask.long 0x00 0.--31. 1. "MATCH0,Match 0 Value" group.long 0x34++0x03 line.long 0x00 "DMR1,Data Match 1" hexmask.long 0x00 0.--31. 1. "MATCH1,Match 1 Value" group.long 0x40++0x03 line.long 0x00 "CCR,Clock Configuration" hexmask.long.byte 0x00 24.--31. 1. "SCKPCS,SCK-to-PCS Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSSCK,PCS-to-SCK Delay" newline hexmask.long.byte 0x00 8.--15. 1. "DBT,Delay Between Transfers" hexmask.long.byte 0x00 0.--7. 1. "SCKDIV,SCK Divider" group.long 0x44++0x03 line.long 0x00 "CCR1,Clock Configuration 1" hexmask.long.byte 0x00 24.--31. 1. "SCKSCK,SCK Inter-Frame Delay" hexmask.long.byte 0x00 16.--23. 1. "PCSPCS,PCS to PCS delay" newline hexmask.long.byte 0x00 8.--15. 1. "SCKHLD,SCK Hold" hexmask.long.byte 0x00 0.--7. 1. "SCKSET,SCK Setup" group.long 0x58++0x03 line.long 0x00 "FCR,FIFO Control" bitfld.long 0x00 16.--18. "RXWATER,Receive FIFO Watermark" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "TXWATER,Transmit FIFO Watermark" "0,1,2,3,4,5,6,7" rgroup.long 0x5C++0x03 line.long 0x00 "FSR,FIFO Status" bitfld.long 0x00 16.--19. "RXCOUNT,Receive FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "TXCOUNT,Transmit FIFO Count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60++0x03 line.long 0x00 "TCR,Transmit Command" bitfld.long 0x00 31. "CPOL,Clock Polarity" "0: The inactive state value of SCK is low,1: The inactive state value of SCK is high" bitfld.long 0x00 30. "CPHA,Clock Phase" "0: CAPTURED,1: CHANGED" newline bitfld.long 0x00 27.--29. "PRESCALE,Prescaler Value" "0: Divide by 1,1: Divide by 2,2: Divide by 4,3: Divide by 8,4: Divide by 16,5: Divide by 32,6: Divide by 64,7: Divide by 128" bitfld.long 0x00 24. "PCS,Peripheral Chip Select" "0: Transfer using PCS[0],1: Transfer using PCS[1]" newline bitfld.long 0x00 23. "LSBF,LSB First" "0: Data is transferred MSB first,1: Data is transferred LSB first" bitfld.long 0x00 22. "BYSW,Byte Swap" "0: Byte swap is disabled,1: Byte swap is enabled" newline bitfld.long 0x00 21. "CONT,Continuous Transfer" "0: Continuous transfer is disabled,1: Continuous transfer is enabled" bitfld.long 0x00 20. "CONTC,Continuing Command" "0: Command word for start of new transfer,1: Command word for continuing transfer" newline bitfld.long 0x00 19. "RXMSK,Receive Data Mask" "0: Normal transfer,1: Receive data is masked" bitfld.long 0x00 18. "TXMSK,Transmit Data Mask" "0: Normal transfer,1: Mask transmit data" newline hexmask.long.word 0x00 0.--11. 1. "FRAMESZ,Frame Size" wgroup.long 0x64++0x03 line.long 0x00 "TDR,Transmit Data" hexmask.long 0x00 0.--31. 1. "DATA,Transmit Data" rgroup.long 0x70++0x03 line.long 0x00 "RSR,Receive Status" bitfld.long 0x00 1. "RXEMPTY,RX FIFO Empty" "0: RX FIFO is not empty,1: RX FIFO is empty" bitfld.long 0x00 0. "SOF,Start Of Frame" "0: Subsequent data word received after PCS..,1: First data word received after PCS assertion" rgroup.long 0x74++0x03 line.long 0x00 "RDR,Receive Data" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" rgroup.long 0x78++0x03 line.long 0x00 "RDROR,Receive Data Read Only" hexmask.long 0x00 0.--31. 1. "DATA,Receive Data" wgroup.long 0x3FC++0x03 line.long 0x00 "TCBR,Transmit Command Burst" hexmask.long 0x00 0.--31. 1. "DATA,Command Data" repeat 128. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x400)++0x03 line.long 0x00 "TDBR[$1],Transmit Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x600)++0x03 line.long 0x00 "RDBR[$1],Receive Data Burst $1" hexmask.long 0x00 0.--31. 1. "DATA,Data" repeat.end tree.end repeat.end tree.end tree "LPTMR" repeat 2. (list 1. 2.) (list ad:0x44300000 ad:0x424D0000) tree "LPTMR$1" base $2 group.long 0x00++0x03 line.long 0x00 "CSR,Control Status Register" bitfld.long 0x00 8. "TDRE,Timer DMA Request Enable" "0: Timer DMA Request disabled,1: Timer DMA Request enabled" eventfld.long 0x00 7. "TCF,Timer Compare Flag" "0: The value of CNR is not equal to CMR + 1,1: The value of CNR is equal to CMR + 1" newline bitfld.long 0x00 6. "TIE,Timer Interrupt Enable" "0: Timer interrupt disabled,1: Timer interrupt enabled" bitfld.long 0x00 4.--5. "TPS,Timer Pin Select" "0: Pulse counter input 0 is selected,1: Pulse counter input 1 is selected,2: Pulse counter input 2 is selected,3: Pulse counter input 3 is selected" newline bitfld.long 0x00 3. "TPP,Timer Pin Polarity" "0: Pulse Counter input source is active-high and..,1: Pulse Counter input source is active-low and.." bitfld.long 0x00 2. "TFC,Timer Free-Running Counter" "0: CNR is reset whenever TCF is set,1: CNR is reset on overflow" newline bitfld.long 0x00 1. "TMS,Timer Mode Select" "0: Time Counter mode,1: Pulse Counter mode" bitfld.long 0x00 0. "TEN,Timer Enable" "0: LPTMR is disabled and internal logic is reset,1: LPTMR is enabled" group.long 0x04++0x03 line.long 0x00 "PSR,Prescale and Glitch Filter Register" bitfld.long 0x00 3.--6. "PRESCALE,Prescale/Glitch Filter Value" "0: Prescaler divides the prescaler clock by 2..,1: Prescaler divides the prescaler clock by 4..,2: Prescaler divides the prescaler clock by 8..,3: Prescaler divides the prescaler clock by 16..,4: Prescaler divides the prescaler clock by 32..,5: Prescaler divides the prescaler clock by 64..,6: Prescaler divides the prescaler clock by 128..,7: Prescaler divides the prescaler clock by 256..,8: Prescaler divides the prescaler clock by 512..,9: Prescaler divides the prescaler clock by 1024..,10: Prescaler divides the prescaler clock by..,11: Prescaler divides the prescaler clock by..,12: Prescaler divides the prescaler clock by..,13: Prescaler divides the prescaler clock by 16..,14: Prescaler divides the prescaler clock by 32..,15: Prescaler divides the prescaler clock by 65.." bitfld.long 0x00 2. "PBYP,Prescaler/Glitch Filter Bypass" "0: Prescaler/glitch filter is enabled,1: Prescaler/glitch filter is bypassed" newline bitfld.long 0x00 0.--1. "PCS,Prescaler/Glitch Filter Clock Select" "0: Prescaler/glitch filter clock 0 selected,1: Prescaler/glitch filter clock 1 selected,2: Prescaler/glitch filter clock 2 selected,3: Prescaler/glitch filter clock 3 selected" group.long 0x08++0x03 line.long 0x00 "CMR,Compare Register" hexmask.long 0x00 0.--31. 1. "COMPARE,Compare Value" group.long 0x0C++0x03 line.long 0x00 "CNR,Counter Register" hexmask.long 0x00 0.--31. 1. "COUNTER,Counter Value" tree.end repeat.end tree.end tree "LPTPM (TPM)" repeat 6. (list 1. 2. 3. 4. 5. 6.) (list ad:0x44310000 ad:0x44320000 ad:0x424E0000 ad:0x424F0000 ad:0x42500000 ad:0x42510000) tree "TPM$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Identification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" hexmask.long.byte 0x00 16.--23. 1. "WIDTH,Counter Width" hexmask.long.byte 0x00 8.--15. 1. "TRIG,Trigger Count" newline hexmask.long.byte 0x00 0.--7. 1. "CHAN,Channel Count" group.long 0x08++0x03 line.long 0x00 "GLOBAL,TPM Global" bitfld.long 0x00 1. "RST,Software Reset" "0: Module is not reset,1: Module is reset" bitfld.long 0x00 0. "NOUPDATE,No Update" "0: Internal double buffered registers update as..,1: Internal double buffered registers do not.." group.long 0x10++0x03 line.long 0x00 "SC,Status and Control" bitfld.long 0x00 8. "DMA,DMA Enable" "0: Disables DMA transfers,1: Enables DMA transfers" eventfld.long 0x00 7. "TOF,Timer Overflow Flag" "0: TPM counter has not overflowed,1: TPM counter has overflowed" newline bitfld.long 0x00 6. "TOIE,Timer Overflow Interrupt Enable" "0: Disable TOF interrupts,1: Enable TOF interrupts" bitfld.long 0x00 5. "CPWMS,Center-Aligned PWM Select" "0: TPM counter operates in up counting mode,1: TPM counter operates in up-down counting mode" newline bitfld.long 0x00 3.--4. "CMOD,Clock Mode Selection" "0: TPM counter is disabled,1: TPM counter increments on every TPM counter..,2: TPM counter increments on rising edge of..,3: TPM counter increments on rising edge of the.." bitfld.long 0x00 0.--2. "PS,Prescale Factor Selection" "0: Divide by 1,1: Divide by 2,2: Divide by 4,3: Divide by 8,4: Divide by 16,5: Divide by 32,6: Divide by 64,7: Divide by 128" group.long 0x14++0x03 line.long 0x00 "CNT,Counter" hexmask.long 0x00 0.--31. 1. "COUNT,Counter value" group.long 0x18++0x03 line.long 0x00 "MOD,Modulo" hexmask.long 0x00 0.--31. 1. "MOD,Modulo value" group.long 0x1C++0x03 line.long 0x00 "STATUS,Capture and Compare Status" eventfld.long 0x00 8. "TOF,Timer Overflow Flag" "0: TPM counter has not overflowed,1: TPM counter has overflowed" eventfld.long 0x00 3. "CH3F,Channel 3 Flag" "0: No channel event has occurred,1: A channel event has occurred" newline eventfld.long 0x00 2. "CH2F,Channel 2 Flag" "0: No channel event has occurred,1: A channel event has occurred" eventfld.long 0x00 1. "CH1F,Channel 1 Flag" "0: No channel event has occurred,1: A channel event has occurred" newline eventfld.long 0x00 0. "CH0F,Channel 0 Flag" "0: No channel event has occurred,1: A channel event has occurred" group.long 0x64++0x03 line.long 0x00 "COMBINE,Combine Channel Register" bitfld.long 0x00 9. "COMSWAP1,Combine Channels 2 and 3 Swap" "0: Even channel is used for input capture and..,1: Odd channel is used for input capture and 1st.." bitfld.long 0x00 8. "COMBINE1,Combine Channels 2 and 3" "0: Channels 2 and 3 are independent,1: Channels 2 and 3 are combined" newline bitfld.long 0x00 1. "COMSWAP0,Combine Channel 0 and 1 Swap" "0: Even channel is used for input capture and..,1: Odd channel is used for input capture and 1st.." bitfld.long 0x00 0. "COMBINE0,Combine Channels 0 and 1" "0: Channels 0 and 1 are independent,1: Channels 0 and 1 are combined" group.long 0x6C++0x03 line.long 0x00 "TRIG,Channel Trigger" bitfld.long 0x00 3. "TRIG3,Channel 3 Trigger" "0: No effect,1: Configures trigger input 1 to be used by.." bitfld.long 0x00 2. "TRIG2,Channel 2 Trigger" "0: No effect,1: Configures trigger input 0 to be used by.." newline bitfld.long 0x00 1. "TRIG1,Channel 1 Trigger" "0: No effect,1: Configures trigger input 1 to be used by.." bitfld.long 0x00 0. "TRIG0,Channel 0 Trigger" "0: No effect,1: Configures trigger input 0 to be used by.." group.long 0x70++0x03 line.long 0x00 "POL,Channel Polarity" bitfld.long 0x00 3. "POL3,Channel 3 Polarity" "0: The channel polarity is active high,1: The channel polarity is active low" bitfld.long 0x00 2. "POL2,Channel 2 Polarity" "0: The channel polarity is active high,1: The channel polarity is active low" newline bitfld.long 0x00 1. "POL1,Channel 1 Polarity" "0: The channel polarity is active high,1: The channel polarity is active low" bitfld.long 0x00 0. "POL0,Channel 0 Polarity" "0: The channel polarity is active high,1: The channel polarity is active low" group.long 0x78++0x03 line.long 0x00 "FILTER,Filter Control" bitfld.long 0x00 12.--15. "CH3FVAL,Channel 3 Filter Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CH2FVAL,Channel 2 Filter Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "CH1FVAL,Channel 1 Filter Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CH0FVAL,Channel 0 Filter Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x80++0x03 line.long 0x00 "QDCTRL,Quadrature Decoder Control and Status" bitfld.long 0x00 3. "QUADMODE,Quadrature Decoder Mode" "0: Phase encoding mode,1: Count and direction encoding mode" rbitfld.long 0x00 2. "QUADIR,Counter Direction in Quadrature Decode Mode" "0: Counter direction is decreasing (counter..,1: Counter direction is increasing (counter.." newline rbitfld.long 0x00 1. "TOFDIR,TOFDIR" "0: TOF bit was set on the bottom of counting,1: TOF bit was set on the top of counting" bitfld.long 0x00 0. "QUADEN,QUADEN" "0: Quadrature decoder mode is disabled,1: Quadrature decoder mode is enabled" group.long 0x84++0x03 line.long 0x00 "CONF,Configuration" bitfld.long 0x00 24.--25. "TRGSEL,Trigger Select" "?,1: Channel 0 pin input capture,2: Channel 1 pin input capture,3: Channel 0 or Channel 1 pin input capture" bitfld.long 0x00 23. "TRGSRC,Trigger Source" "0: Trigger source selected by TRGSEL is external,1: Trigger source selected by TRGSEL is internal.." newline bitfld.long 0x00 22. "TRGPOL,Trigger Polarity" "0: Trigger is active high,1: Trigger is active low" bitfld.long 0x00 19. "CPOT,Counter Pause On Trigger" "0: TPM counter continues,1: TPM counter pauses" newline bitfld.long 0x00 18. "CROT,Counter Reload On Trigger" "0: Counter is not reloaded due to a rising edge..,1: Counter is reloaded when a rising edge is.." bitfld.long 0x00 17. "CSOO,Counter Stop On Overflow" "0: TPM counter continues incrementing or..,1: TPM counter stops incrementing or.." newline bitfld.long 0x00 16. "CSOT,Counter Start on Trigger" "0: TPM counter starts to increment immediately..,1: TPM counter only starts to increment when it.." bitfld.long 0x00 9. "GTBEEN,Global time base enable" "0: All channels use the internally generated TPM..,1: All channels use an externally generated.." newline bitfld.long 0x00 8. "GTBSYNC,Global Time Base Synchronization" "0: Global timebase synchronization disabled,1: Global timebase synchronization enabled" bitfld.long 0x00 6.--7. "DBGMODE,Debug Mode" "0: TPM counter is paused and does not increment,?,?,3: TPM counter continues" newline bitfld.long 0x00 5. "DOZEEN,Doze Enable" "0: Internal TPM counter continues,1: Internal TPM counter is paused and does not.." repeat 4. (increment 0 1)(increment 0 0x8) tree "CHANNEL[$1]" group.long ($2+0x20)++0x03 line.long 0x00 "CSC,Channel (n) Status and Control" eventfld.long 0x00 7. "CHF,Channel Flag" "0: No channel event has occurred,1: A channel event has occurred" bitfld.long 0x00 6. "CHIE,Channel Interrupt Enable" "0: Disable channel interrupts,1: Enable channel interrupts" bitfld.long 0x00 5. "MSB,Channel Mode Select" "0,1" bitfld.long 0x00 4. "MSA,Channel Mode Select" "0,1" bitfld.long 0x00 3. "ELSB,Edge or Level Select" "0,1" bitfld.long 0x00 2. "ELSA,Edge or Level Select" "0,1" bitfld.long 0x00 0. "DMA,DMA Enable" "0: Disable DMA transfers,1: Enable DMA transfers" group.long ($2+0x24)++0x03 line.long 0x00 "CV,Channel (n) Value" hexmask.long 0x00 0.--31. 1. "VAL,Channel Value" tree.end repeat.end tree.end repeat.end tree.end tree "LPUART" repeat 8. (list 1. 2. 3. 4. 5. 6. 7. 8.) (list ad:0x44380000 ad:0x44390000 ad:0x42570000 ad:0x42580000 ad:0x42590000 ad:0x425A0000 ad:0x42690000 ad:0x426A0000) tree "LPUART$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Identification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter Register" hexmask.long.byte 0x00 8.--15. 1. "RXFIFO,Receive FIFO Size" hexmask.long.byte 0x00 0.--7. 1. "TXFIFO,Transmit FIFO Size" group.long 0x08++0x03 line.long 0x00 "GLOBAL,LPUART Global Register" bitfld.long 0x00 1. "RST,Software Reset" "0: Module is not reset,1: Module is reset" group.long 0x0C++0x03 line.long 0x00 "PINCFG,LPUART Pin Configuration Register" bitfld.long 0x00 0.--1. "TRGSEL,Trigger Select" "0: Input trigger is disabled,1: Input trigger is used instead of RXD pin input,2: Input trigger is used instead of CTS_B pin..,3: Input trigger is used to modulate the TXD pin.." group.long 0x10++0x03 line.long 0x00 "BAUD,LPUART Baud Rate Register" bitfld.long 0x00 31. "MAEN1,Match Address Mode Enable 1" "0: Normal operation,1: Enables automatic address matching or data.." bitfld.long 0x00 30. "MAEN2,Match Address Mode Enable 2" "0: Normal operation,1: Enables automatic address matching or data.." newline bitfld.long 0x00 29. "M10,10-bit Mode select" "0: Receiver and transmitter use 7-bit to 9-bit..,1: Receiver and transmitter use 10-bit data.." bitfld.long 0x00 24.--28. "OSR,Oversampling Ratio" "0: Writing 0 to this field results in an..,?,?,3: Oversampling ratio of 4 requires BOTHEDGE to..,4: Oversampling ratio of 5 requires BOTHEDGE to..,5: Oversampling ratio of 6 requires BOTHEDGE to..,6: Oversampling ratio of 7 requires BOTHEDGE to..,7: Oversampling ratio of 8,8: Oversampling ratio of 9,9: Oversampling ratio of 10,10: Oversampling ratio of 11,11: Oversampling ratio of 12,12: Oversampling ratio of 13,13: Oversampling ratio of 14,14: Oversampling ratio of 15,15: Oversampling ratio of 16,16: Oversampling ratio of 17,17: Oversampling ratio of 18,18: Oversampling ratio of 19,19: Oversampling ratio of 20,20: Oversampling ratio of 21,21: Oversampling ratio of 22,22: Oversampling ratio of 23,23: Oversampling ratio of 24,24: Oversampling ratio of 25,25: Oversampling ratio of 26,26: Oversampling ratio of 27,27: Oversampling ratio of 28,28: Oversampling ratio of 29,29: Oversampling ratio of 30,30: Oversampling ratio of 31,31: Oversampling ratio of 32" newline bitfld.long 0x00 23. "TDMAE,Transmitter DMA Enable" "0: DMA request disabled,1: DMA request enabled" bitfld.long 0x00 21. "RDMAE,Receiver Full DMA Enable" "0: DMA request disabled,1: DMA request enabled" newline bitfld.long 0x00 20. "RIDMAE,Receiver Idle DMA Enable" "0: DMA request disabled,1: DMA request enabled" bitfld.long 0x00 18.--19. "MATCFG,Match Configuration" "0: Address Match Wakeup,1: Idle Match Wakeup,2: Match On and Match Off,3: Enables RWU on Data Match and Match On/Off.." newline bitfld.long 0x00 17. "BOTHEDGE,Both Edge Sampling" "0: Receiver samples input data using the rising..,1: Receiver samples input data using the rising.." bitfld.long 0x00 16. "RESYNCDIS,Resynchronization Disable" "0: Resynchronization during received data word..,1: Resynchronization during received data word.." newline bitfld.long 0x00 15. "LBKDIE,LIN Break Detect Interrupt Enable" "0: Hardware interrupts from STAT[LBKDIF] flag..,1: Hardware interrupt is requested when.." bitfld.long 0x00 14. "RXEDGIE,RX Input Active Edge Interrupt Enable" "0: Hardware interrupts from STAT[RXEDGIF] are..,1: Hardware interrupt is requested when.." newline bitfld.long 0x00 13. "SBNS,Stop Bit Number Select" "0: One stop bit,1: Two stop bits" hexmask.long.word 0x00 0.--12. 1. "SBR,Baud Rate Modulo Divisor" group.long 0x14++0x03 line.long 0x00 "STAT,LPUART Status Register" eventfld.long 0x00 31. "LBKDIF,LIN Break Detect Interrupt Flag" "0: No LIN break character has been detected,1: LIN break character has been detected" eventfld.long 0x00 30. "RXEDGIF,RXD Pin Active Edge Interrupt Flag" "0: No active edge on the receive pin has occurred,1: An active edge on the receive pin has occurred" newline bitfld.long 0x00 29. "MSBF,MSB First" "0: LSB (bit0) is the first bit that is..,1: MSB (identified as bit9 bit8 bit7 or bit6) is.." bitfld.long 0x00 28. "RXINV,Receive Data Inversion" "0: Receive data not inverted,1: Receive data inverted" newline bitfld.long 0x00 27. "RWUID,Receive Wake Up Idle Detect" "0: During receive standby state (RWU = 1) the..,1: During receive standby state (RWU = 1) the.." bitfld.long 0x00 26. "BRK13,Break Character Generation Length" "0: Break character is transmitted with length of..,1: Break character is transmitted with length of.." newline bitfld.long 0x00 25. "LBKDE,LIN Break Detection Enable" "0: LIN break detect is disabled normal break..,1: LIN break detect is enabled" rbitfld.long 0x00 24. "RAF,Receiver Active Flag" "0: LPUART receiver idle waiting for a start bit,1: LPUART receiver active (RXD input not idle)" newline rbitfld.long 0x00 23. "TDRE,Transmit Data Register Empty Flag" "0: Transmit FIFO level is greater than watermark,1: Transmit FIFO level is equal or less than.." rbitfld.long 0x00 22. "TC,Transmission Complete Flag" "0: Transmitter active (sending data a preamble..,1: Transmitter idle (transmission activity.." newline rbitfld.long 0x00 21. "RDRF,Receive Data Register Full Flag" "0: Receive FIFO level is less than watermark,1: Receive FIFO level is equal or greater than.." eventfld.long 0x00 20. "IDLE,Idle Line Flag" "0: No idle line detected,1: Idle line is detected" newline eventfld.long 0x00 19. "OR,Receiver Overrun Flag" "0: No overrun,1: Receive overrun (new LPUART data lost)" eventfld.long 0x00 18. "NF,Noise Flag" "0: No noise detected,1: Noise detected in the received character in.." newline eventfld.long 0x00 17. "FE,Framing Error Flag" "0: No framing error detected,1: Framing error" eventfld.long 0x00 16. "PF,Parity Error Flag" "0: No parity error,1: Parity error" newline eventfld.long 0x00 15. "MA1F,Match 1 Flag" "0: Received data is not equal to MA1,1: Received data is equal to MA1" eventfld.long 0x00 14. "MA2F,Match 2 Flag" "0: Received data is not equal to MA2,1: Received data is equal to MA2" newline rbitfld.long 0x00 9. "TSF,Timeout Status Flag" "0: Flag is clear,1: Flag is set" rbitfld.long 0x00 8. "MSF,MODEM Status Flag" "0: Flag is clear,1: Flag is set" newline bitfld.long 0x00 1. "AME,Address Mark Enable" "0: Address mark in character is MSB,1: Address mark in character is last bit before.." bitfld.long 0x00 0. "LBKFE,LIN Break Flag Enable" "0: LIN break detect is disabled,1: LIN break detect is enabled" group.long 0x18++0x03 line.long 0x00 "CTRL,LPUART Control Register" bitfld.long 0x00 31. "R8T9,Receive Bit 8 / Transmit Bit 9" "0,1" bitfld.long 0x00 30. "R9T8,Receive Bit 9 / Transmit Bit 8" "0,1" newline bitfld.long 0x00 29. "TXDIR,TXD Pin Direction in Single-Wire Mode" "0: TXD pin is an input in single-wire mode,1: TXD pin is an output in single-wire mode" bitfld.long 0x00 28. "TXINV,Transmit Data Inversion" "0: Transmit data not inverted,1: Transmit data inverted" newline bitfld.long 0x00 27. "ORIE,Overrun Interrupt Enable" "0: OR interrupts disabled use polling,1: Hardware interrupt is requested when OR is set" bitfld.long 0x00 26. "NEIE,Noise Error Interrupt Enable" "0: NF interrupts disabled use polling,1: Hardware interrupt is requested when NF is set" newline bitfld.long 0x00 25. "FEIE,Framing Error Interrupt Enable" "0: FE interrupts disabled use polling,1: Hardware interrupt is requested when FE is set" bitfld.long 0x00 24. "PEIE,Parity Error Interrupt Enable" "0: PF interrupts disabled use polling),1: Hardware interrupt is requested when PF is set" newline bitfld.long 0x00 23. "TIE,Transmit Interrupt Enable" "0: Hardware interrupts from TDRE disabled,1: Hardware interrupt is requested when TDRE.." bitfld.long 0x00 22. "TCIE,Transmission Complete Interrupt Enable for" "0: Hardware interrupts from TC disabled,1: Hardware interrupt is requested when TC flag.." newline bitfld.long 0x00 21. "RIE,Receiver Interrupt Enable" "0: Hardware interrupts from RDRF disabled,1: Hardware interrupt is requested when RDRF.." bitfld.long 0x00 20. "ILIE,Idle Line Interrupt Enable" "0: Hardware interrupts from IDLE disabled use..,1: Hardware interrupt is requested when IDLE.." newline bitfld.long 0x00 19. "TE,Transmitter Enable" "0: Transmitter disabled,1: Transmitter enabled" bitfld.long 0x00 18. "RE,Receiver Enable" "0: Receiver disabled,1: Receiver enabled" newline bitfld.long 0x00 17. "RWU,Receiver Wakeup Control" "0: Normal receiver operation,1: LPUART receiver in standby waiting for wakeup.." bitfld.long 0x00 16. "SBK,Send Break" "0: Normal transmitter operation,1: Queue break character(s) to be sent" newline bitfld.long 0x00 15. "MA1IE,Match 1 Interrupt Enable" "0: MA1F interrupt disabled,1: MA1F interrupt enabled" bitfld.long 0x00 14. "MA2IE,Match 2 Interrupt Enable" "0: MA2F interrupt disabled,1: MA2F interrupt enabled" newline bitfld.long 0x00 11. "M7,7-Bit Mode Select" "0: Receiver and transmitter use 8-bit to 10-bit..,1: Receiver and transmitter use 7-bit data.." bitfld.long 0x00 8.--10. "IDLECFG,Idle Configuration" "0: 1 idle character,1: 2 idle characters,2: 4 idle characters,3: 8 idle characters,4: 16 idle characters,5: 32 idle characters,6: 64 idle characters,7: 128 idle characters" newline bitfld.long 0x00 7. "LOOPS,Loop Mode Select" "0: Normal operation - RXD and TXD use separate..,1: Loop mode or single-wire mode where.." bitfld.long 0x00 6. "DOZEEN,Doze Enable" "0: LPUART is enabled in Doze mode,1: LPUART is disabled in Doze mode but remains.." newline bitfld.long 0x00 5. "RSRC,Receiver Source Select" "0: Provided LOOPS is set RSRC is cleared selects..,1: Single-wire LPUART mode where the TXD pin is.." bitfld.long 0x00 4. "M,9-Bit or 8-Bit Mode Select" "0: Receiver and transmitter use 8-bit data..,1: Receiver and transmitter use 9-bit data.." newline bitfld.long 0x00 3. "WAKE,Receiver Wakeup Method Select" "0: Configures RWU for idle-line wakeup,1: Configures RWU with address-mark wakeup" bitfld.long 0x00 2. "ILT,Idle Line Type Select" "0: Idle character bit count starts after start bit,1: Idle character bit count starts after stop bit" newline bitfld.long 0x00 1. "PE,Parity Enable" "0: No hardware parity generation or checking,1: Parity enabled" bitfld.long 0x00 0. "PT,Parity Type" "0: Even parity,1: Odd parity" group.long 0x1C++0x03 line.long 0x00 "DATA,LPUART Data Register" rbitfld.long 0x00 15. "NOISY,Noisy Data Received" "0: The dataword is received without noise,1: The data is received with noise" rbitfld.long 0x00 14. "PARITYE,Parity Error" "0: The dataword is received without a parity error,1: The dataword is received with a parity error" newline bitfld.long 0x00 13. "FRETSC,Frame Error / Transmit Special Character" "0: The dataword is received without a frame..,1: The dataword is received with a frame error.." rbitfld.long 0x00 12. "RXEMPT,Receive Buffer Empty" "0: Receive buffer contains valid data,1: Receive buffer is empty data returned on read.." newline rbitfld.long 0x00 11. "IDLINE,Idle Line" "0: Receiver was not idle before receiving this..,1: Receiver was idle before receiving this.." rbitfld.long 0x00 10. "LINBRK,LIN Break" "0: Receiver did not detect LIN break before this..,1: Receiver detected a LIN break before.." newline bitfld.long 0x00 9. "R9T9,R9T9" "0,1" bitfld.long 0x00 8. "R8T8,R8T8" "0,1" newline bitfld.long 0x00 7. "R7T7,R7T7" "0,1" bitfld.long 0x00 6. "R6T6,R6T6" "0,1" newline bitfld.long 0x00 5. "R5T5,R5T5" "0,1" bitfld.long 0x00 4. "R4T4,R4T4" "0,1" newline bitfld.long 0x00 3. "R3T3,R3T3" "0,1" bitfld.long 0x00 2. "R2T2,R2T2" "0,1" newline bitfld.long 0x00 1. "R1T1,R1T1" "0,1" bitfld.long 0x00 0. "R0T0,R0T0" "0,1" group.long 0x20++0x03 line.long 0x00 "MATCH,LPUART Match Address Register" hexmask.long.word 0x00 16.--25. 1. "MA2,Match Address 2" hexmask.long.word 0x00 0.--9. 1. "MA1,Match Address 1" group.long 0x24++0x03 line.long 0x00 "MODIR,LPUART Modem IrDA Register" bitfld.long 0x00 18. "IREN,Infrared enable" "0: IR disabled,1: IR enabled" bitfld.long 0x00 16.--17. "TNP,Transmitter narrow pulse" "0: ONE_SAMPLE,1: TWO_SAMPLE,2: THREE_SAMPLE,3: FOUR_SAMPLE" newline bitfld.long 0x00 8.--11. "RTSWATER,Receive RTS Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 5. "TXCTSSRC,Transmit CTS Source" "0: CTS input is the CTS_B pin,1: CTS input is an internal connection to the.." newline bitfld.long 0x00 4. "TXCTSC,Transmit CTS Configuration" "0: CTS input is sampled at the start of each..,1: CTS input is sampled when the transmitter is.." bitfld.long 0x00 3. "RXRTSE,Receiver request-to-send enable" "0: The receiver has no effect on RTS,1: RTS is deasserted if the receiver data.." newline bitfld.long 0x00 2. "TXRTSPOL,Transmitter request-to-send polarity" "0: Transmitter RTS is active low,1: Transmitter RTS is active high" bitfld.long 0x00 1. "TXRTSE,Transmitter request-to-send enable" "0: The transmitter has no effect on RTS,1: When a character is placed into an empty.." newline bitfld.long 0x00 0. "TXCTSE,Transmitter clear-to-send enable" "0: CTS has no effect on the transmitter,1: Enables clear-to-send operation" group.long 0x28++0x03 line.long 0x00 "FIFO,LPUART FIFO Register" rbitfld.long 0x00 23. "TXEMPT,Transmit FIFO/Buffer Empty" "0: Transmit buffer is not empty,1: Transmit buffer is empty" rbitfld.long 0x00 22. "RXEMPT,Receive FIFO/Buffer Empty" "0: Receive buffer is not empty,1: Receive buffer is empty" newline eventfld.long 0x00 17. "TXOF,Transmitter FIFO Overflow Flag" "0: No transmit FIFO overflow has occurred since..,1: At least one transmit FIFO overflow has.." eventfld.long 0x00 16. "RXUF,Receiver FIFO Underflow Flag" "0: No receive FIFO underflow has occurred since..,1: At least one receive FIFO underflow has.." newline bitfld.long 0x00 15. "TXFLUSH,Transmit FIFO Flush" "0: No flush operation occurs,1: All data in the transmit FIFO is cleared out" bitfld.long 0x00 14. "RXFLUSH,Receive FIFO Flush" "0: No flush operation occurs,1: All data in the receive FIFO/buffer is.." newline bitfld.long 0x00 10.--12. "RXIDEN,Receiver Idle Empty Enable" "0: Disable RDRF assertion due to partially..,1: Enable RDRF assertion due to partially filled..,2: Enable RDRF assertion due to partially filled..,3: Enable RDRF assertion due to partially filled..,4: Enable RDRF assertion due to partially filled..,5: Enable RDRF assertion due to partially filled..,6: Enable RDRF assertion due to partially filled..,7: Enable RDRF assertion due to partially filled.." bitfld.long 0x00 9. "TXOFE,Transmit FIFO Overflow Interrupt Enable" "0: TXOF flag does not generate an interrupt to..,1: TXOF flag generates an interrupt to the host" newline bitfld.long 0x00 8. "RXUFE,Receive FIFO Underflow Interrupt Enable" "0: RXUF flag does not generate an interrupt to..,1: RXUF flag generates an interrupt to the host" bitfld.long 0x00 7. "TXFE,Transmit FIFO Enable" "0: Transmit FIFO is not enabled,1: Transmit FIFO is enabled" newline rbitfld.long 0x00 4.--6. "TXFIFOSIZE,Transmit FIFO Buffer Depth" "0: Transmit FIFO/Buffer depth = 1 dataword,1: Transmit FIFO/Buffer depth = 4 datawords,2: Transmit FIFO/Buffer depth = 8 datawords,3: Transmit FIFO/Buffer depth = 16 datawords,4: Transmit FIFO/Buffer depth = 32 datawords,5: Transmit FIFO/Buffer depth = 64 datawords,6: Transmit FIFO/Buffer depth = 128 datawords,7: Transmit FIFO/Buffer depth = 256 datawords" bitfld.long 0x00 3. "RXFE,Receive FIFO Enable" "0: Receive FIFO is not enabled,1: Receive FIFO is enabled" newline rbitfld.long 0x00 0.--2. "RXFIFOSIZE,Receive FIFO Buffer Depth" "0: Receive FIFO/Buffer depth = 1 dataword,1: Receive FIFO/Buffer depth = 4 datawords,2: Receive FIFO/Buffer depth = 8 datawords,3: Receive FIFO/Buffer depth = 16 datawords,4: Receive FIFO/Buffer depth = 32 datawords,5: Receive FIFO/Buffer depth = 64 datawords,6: Receive FIFO/Buffer depth = 128 datawords,7: Receive FIFO/Buffer depth = 256 datawords" group.long 0x2C++0x03 line.long 0x00 "WATER,LPUART Watermark Register" rbitfld.long 0x00 24.--28. "RXCOUNT,Receive Counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--19. "RXWATER,Receive Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 8.--12. "TXCOUNT,Transmit Counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--3. "TXWATER,Transmit Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x30++0x03 line.long 0x00 "DATARO,Data read-only Register" hexmask.long.word 0x00 0.--15. 1. "DATA,Receive Data" group.long 0x40++0x03 line.long 0x00 "MCR,MODEM Control Register" bitfld.long 0x00 9. "RTS,Request To Send" "0: Default state of RTS_B is logic one,1: Default state of RTS_B is logic zero" bitfld.long 0x00 8. "DTR,Data Terminal Ready" "0: Default state of DTR_B is logic one,1: Default state of DTR_B is logic zero" newline bitfld.long 0x00 3. "DCD,Data Carrier Detect" "0: The DCD_B interrupt disabled,1: The DCD_B interrupt enabled" bitfld.long 0x00 2. "RIN,Ring Indicator" "0: The RIN_B interrupt disabled,1: The RIN_B interrupt enabled" newline bitfld.long 0x00 1. "DSR,Data Set Ready" "0: The DSR_B interrupt disabled,1: The DSR_B interrupt enabled" bitfld.long 0x00 0. "CTS,Clear To Send" "0: The CTS_B interrupt disabled,1: The CTS_B interrupt enabled" group.long 0x44++0x03 line.long 0x00 "MSR,MODEM Status Register" rbitfld.long 0x00 7. "DCD,Data Carrier Detect" "0: The DCD_B pin is logic one,1: The DCD_B pin is logic zero" rbitfld.long 0x00 6. "RIN,Ring Indicator" "0: The RIN_B pin is logic one,1: The RIN_B pin is logic zero" newline rbitfld.long 0x00 5. "DSR,Data Set Ready" "0: The DSR_B pin is logic one,1: The DSR_B pin is logic zero" rbitfld.long 0x00 4. "CTS,Clear To Send" "0: The CTS_B pin is logic one,1: The CTS_B pin is logic zero" newline eventfld.long 0x00 3. "DDCD,Delta Data Carrier Detect" "0: The DCD_B pin has not changed state,1: The DCD_B pin has changed state" eventfld.long 0x00 2. "DRI,Delta Ring Indicator" "0: The RIN_B pin has not changed state,1: The RIN_B pin has changed state" newline eventfld.long 0x00 1. "DDSR,Delta Data Set Ready" "0: The DSR_B pin has not changed state,1: The DSR_B pin has changed state" eventfld.long 0x00 0. "DCTS,Delta Clear To Send" "0: The CTS_B pin has not changed state,1: The CTS_B pin has changed state" group.long 0x48++0x03 line.long 0x00 "REIR,Receiver Extended Idle Register" hexmask.long.word 0x00 0.--13. 1. "IDTIME,Idle Time" group.long 0x4C++0x03 line.long 0x00 "TEIR,Transmitter Extended Idle Register" hexmask.long.word 0x00 0.--13. 1. "IDTIME,Idle Time" group.long 0x50++0x03 line.long 0x00 "HDCR,Half Duplex Control Register" hexmask.long.byte 0x00 8.--15. 1. "RTSEXT,RTS Extended" bitfld.long 0x00 3. "RXMSK,Receive Mask" "0: Receive data pin is not masked by the..,1: Receive data pin is masked by the transmitter.." newline bitfld.long 0x00 2. "RXWRMSK,Receive FIFO Write Mask" "0: Receive FIFO writes are not masked,1: Receive FIFO writes are masked by the.." bitfld.long 0x00 1. "RXSEL,Receive Select" "0: Receive data pin is RXD,1: Receive data pin is TXD" newline bitfld.long 0x00 0. "TXSTALL,Transmit Stall" "0: NO_EFFECT,1: Transmitter will not become busy when.." group.long 0x58++0x03 line.long 0x00 "TOCR,Timeout Control Register" bitfld.long 0x00 8.--11. "TOIE,Timeout Interrupt Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "TOEN,Timeout Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x5C++0x03 line.long 0x00 "TOSR,Timeout Status Register" eventfld.long 0x00 8.--11. "TOF,Timeout Flag" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "TOZ,Timeout Zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 4. (increment 0 1) (increment 0 0x4) group.long ($2+0x60)++0x03 line.long 0x00 "TIMEOUT[$1],Timeout N Register $1" bitfld.long 0x00 30.--31. "CFG,Idle Configuration" "0: Flag sets after TIMEOUT characters are received,1: Flag sets when idle for TIMEOUT bit clocks,2: Flag sets when idle for TIMEOUT bit clocks..,3: Flag sets when idle for at least TIMEOUT bit.." hexmask.long.word 0x00 0.--13. 1. "TIMEOUT,Timeout Value" repeat.end repeat 128. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x200)++0x03 line.long 0x00 "TCBR[$1],Transmit Command Burst Register $1" hexmask.long.word 0x00 0.--15. 1. "DATA,Data" repeat.end repeat 256. (increment 0 1) (increment 0 0x04) wgroup.long ($2+0x400)++0x03 line.long 0x00 "TDBR[$1],Transmit Data Burst Register $1" hexmask.long.byte 0x00 24.--31. 1. "DATA3,Data" hexmask.long.byte 0x00 16.--23. 1. "DATA2,Data" newline hexmask.long.byte 0x00 8.--15. 1. "DATA1,Data" hexmask.long.byte 0x00 0.--7. 1. "DATA0,Data" repeat.end tree.end repeat.end tree.end tree "LPWDOG (WDOG)" repeat 5. (list 1. 2. 3. 4. 5.) (list ad:0x442D0000 ad:0x442E0000 ad:0x42490000 ad:0x424A0000 ad:0x424B0000) tree "WDOG$1" base $2 group.long 0x00++0x03 line.long 0x00 "CS,Watchdog Control and Status Register" bitfld.long 0x00 15. "WIN,Watchdog Window" "0: Window mode disabled,1: Window mode enabled" eventfld.long 0x00 14. "FLG,Watchdog Interrupt Flag" "0: No interrupt occurred,1: An interrupt occurred" newline bitfld.long 0x00 13. "CMD32EN,Enables or disables WDOG support for 32-bit (otherwise 16-bit or 8-bit) refresh/unlock command write words" "0: Disables support for 32-bit refresh/unlock..,1: Enables support for 32-bit refresh/unlock.." bitfld.long 0x00 12. "PRES,Watchdog prescaler" "0: 256 prescaler disabled,1: 256 prescaler enabled" newline rbitfld.long 0x00 11. "ULK,Unlock status" "0: WDOG is locked,1: WDOG is unlocked" rbitfld.long 0x00 10. "RCS,Reconfiguration Success" "0: Reconfiguring WDOG,1: Reconfiguration is successful" newline bitfld.long 0x00 8.--9. "CLK,Watchdog Clock" "0,1,2,3" bitfld.long 0x00 7. "EN,Watchdog Enable" "0: Watchdog disabled,1: Watchdog enabled" newline bitfld.long 0x00 6. "INT,Watchdog Interrupt" "0: Watchdog interrupts are disabled,1: Watchdog interrupts are enabled" bitfld.long 0x00 5. "UPDATE,Allow updates" "0: Updates not allowed,1: Updates allowed" newline bitfld.long 0x00 3.--4. "TST,Watchdog Test" "0: Watchdog test mode disabled,1: Watchdog user mode enabled,2: Watchdog test mode enabled only the low byte..,3: Watchdog test mode enabled only the high byte.." bitfld.long 0x00 2. "DBG,Debug Enable" "0: Watchdog disabled in chip debug mode,1: Watchdog enabled in chip debug mode" newline bitfld.long 0x00 1. "WAIT,Wait Enable" "0: Watchdog disabled in chip wait mode,1: Watchdog enabled in chip wait mode" bitfld.long 0x00 0. "STOP,Stop Enable" "0: Watchdog disabled in chip stop mode,1: Watchdog enabled in chip stop mode" group.long 0x04++0x03 line.long 0x00 "CNT,Watchdog Counter Register" hexmask.long.byte 0x00 8.--15. 1. "CNTHIGH,High byte of the Watchdog Counter" hexmask.long.byte 0x00 0.--7. 1. "CNTLOW,Low byte of the Watchdog Counter" group.long 0x08++0x03 line.long 0x00 "TOVAL,Watchdog Timeout Value Register" hexmask.long.byte 0x00 8.--15. 1. "TOVALHIGH,High byte of the timeout value" hexmask.long.byte 0x00 0.--7. 1. "TOVALLOW,Low byte of the timeout value" group.long 0x0C++0x03 line.long 0x00 "WIN,Watchdog Window Register" hexmask.long.byte 0x00 8.--15. 1. "WINHIGH,High byte of Watchdog Window" hexmask.long.byte 0x00 0.--7. 1. "WINLOW,Low byte of Watchdog Window" tree.end repeat.end tree.end tree "M33_CACHE_MCM (CM33_CACHE_ECC_MCM)" base ad:0x44401000 group.long 0x00++0x03 line.long 0x00 "CACHE_ECCR,CACHE ECC Control Register" bitfld.long 0x00 1. "RECC_DIS,Disable Cache ECC Read Check" "0: Enable ECC check on CACHE read data,1: Disable ECC check on CACHE read data" newline bitfld.long 0x00 0. "WECC_DIS,Disable CACHE ECC Write Generation" "0: Enable ECC generation on CACHE write data,1: Disable ECC generation on CACHE write data" group.long 0x20++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" eventfld.long 0x00 15. "SYSTEM_CACHE_ECC_ERRS_OVER_INT,SYSTEM CACHE access more than one multi-bit ECC Error Interrupt Status" "0: SYSTEM CACHE more than single-bit ECC error..,1: SYSTEM CACHE more than single-bit ECC error.." newline eventfld.long 0x00 14. "SYSTEM_CACHE_ECC_ERRM_OVER_INT,SYSTEM CACHE access more than one multi-bit ECC Error Interrupt Status" "0: SYSTEM CACHE more than one multi-bit ECC..,1: SYSTEM CACHE more than one multi-bit ECC.." newline eventfld.long 0x00 13. "SYSTEM_CACHE_ECC_ERRS_INT,SYSTEM CACHE access single-bit ECC Error Interrupt Status" "0: SYSTEM CACHE single-bit ECC error does not..,1: SYSTEM CACHE single-bit ECC error happens" newline eventfld.long 0x00 12. "SYSTEM_CACHE_ECC_ERRM_INT,SYSTEM CACHE access multi-bit ECC Error Interrupt Status" "0: SYSTEM CACHE multi-bit ECC error does not..,1: SYSTEM CACHE multi-bit ECC error happens" newline eventfld.long 0x00 11. "CODE_CACHE_ECC_ERRS_OVER_INT,CODE CACHE access more than one single-bit ECC Error Interrupt Status" "0: CODE CACHE more than one single-bit ECC error..,1: CODE CACHE more than one single-bit ECC error.." newline eventfld.long 0x00 10. "CODE_CACHE_ECC_ERRM_OVER_INT,CODE CACHE access more than one multi-bit ECC Error Interrupt Status" "0: CODE CACHE more than one multi-bit ECC error..,1: CODE CACHE more than one multi-bit ECC error.." newline eventfld.long 0x00 9. "CODE_CACHE_ECC_ERRS_INT,CODE CACHE access single-bit ECC Error Interrupt Status" "0: CODE CACHE single-bit ECC error does not happen,1: CODE CACHE single-bit ECC error happens" newline eventfld.long 0x00 8. "CODE_CACHE_ECC_ERRM_INT,CODE CACHE Access multi-bit ECC Error Interrupt Status" "0: CODE CACHE multi-bit ECC error does not happen,1: CODE CACHE multi-bit ECC error happens" group.long 0x24++0x03 line.long 0x00 "INT_STAT_EN,Interrupt Status Enable Register" bitfld.long 0x00 15. "SYSTEM_CACHE_ECC_ERRS_OVER_INT_EN,SYSTEM CACHE Access more than one single bit ECC Error Interrupt Status Enable" "0: SYSTEM_CACHE_ECC_ERRS_OVER_INT_EN_0,1: SYSTEM_CACHE_ECC_ERRS_OVER_INT_EN_1" newline bitfld.long 0x00 14. "SYSTEM_CACHE_ECC_ERRM_OVER_INT_EN,SYSTEM CACHE Access more than one multi bit ECC Error Interrupt Status Enable" "0: SYSTEM_CACHE_ECC_ERRM_OVER_INT_EN_0,1: SYSTEM_CACHE_ECC_ERRM_OVER_INT_EN_1" newline bitfld.long 0x00 13. "SYSTEM_CACHE_ECC_ERRS_INT_EN,SYSTEM CACHE Access single bit ECC Error Interrupt Status Enable" "0: SYSTEM_CACHE_ECC_ERRS_INT_EN_0,1: SYSTEM_CACHE_ECC_ERRS_INT_EN_1" newline bitfld.long 0x00 12. "SYSTEM_CACHE_ECC_ERRM_INT_EN,SYSTEM CACHE Access multi bit ECC Error Interrupt Status Enable" "0: SYSTEM_CACHE_ECC_ERRM_INT_EN_0,1: SYSTEM_CACHE_ECC_ERRM_INT_EN_1" newline bitfld.long 0x00 11. "CODE_CACHE_ERRS_OVER_INT_EN,CODE CACHE Access more than single bit ECC Error Interrupt Status Enable" "0: CODE_CACHE_ERRS_OVER_INT_EN_0,1: CODE_CACHE_ERRS_OVER_INT_EN_1" newline bitfld.long 0x00 10. "CODE_CACHE_ERRM_OVER_INT_EN,CODE CACHE Access more than multi bit ECC Error Interrupt Status Enable" "0: CODE_CACHE_ERRM_OVER_INT_EN_0,1: CODE_CACHE_ERRM_OVER_INT_EN_1" newline bitfld.long 0x00 9. "CODE_CACHE_ERRS_INT_EN,CODE CACHE Access single bit ECC Error Interrupt Status Enable" "0: CODE_CACHE_ERRS_INT_EN_0,1: CODE_CACHE_ERRS_INT_EN_1" newline bitfld.long 0x00 8. "CODE_CACHE_ERRM_INT_EN,CODE CACHE Access multi bit ECC Error Interrupt Status Enable" "0: CODE_CACHE_ERRM_INT_EN_0,1: CODE_CACHE_ERRM_INT_EN_1" group.long 0x28++0x03 line.long 0x00 "INT_SIG_EN,Interrupt Enable Register" bitfld.long 0x00 15. "SYSTEM_CACHE_ERRS_OVER_INT_SIG_EN,SYSTEM CACHE Access single bit ECC Error Interrupt Signal Enable" "0: SYSTEM_CACHE_ERRS_OVER_INT_SIG_EN_0,1: SYSTEM_CACHE_ERRS_OVER_INT_SIG_EN_1" newline bitfld.long 0x00 14. "SYSTEM_CACHE_ERRM_OVER_INT_SIG_EN,SYSTEM CACHE Access more than one multi bit ECC Error Interrupt Signal Enable" "0: SYSTEM_CACHE_ERRM_OVER_INT_SIG_EN_0,1: SYSTEM_CACHE_ERRM_OVER_INT_SIG_EN_1" newline bitfld.long 0x00 13. "SYSTEM_CACHE_ERRS_INT_SIG_EN,SYSTEM CACHE Access single bit ECC Error Interrupt Signal Enable" "0: SYSTEM_CACHE_ERRS_INT_SIG_EN_0,1: SYSTEM_CACHE_ERRS_INT_SIG_EN_1" newline bitfld.long 0x00 12. "SYSTEM_CACHE_ERRM_INT_SIG_EN,SYSTEM CACHE Access multi bit ECC Error Interrupt Signal Enable" "0: SYSTEM_CACHE_ERRM_INT_SIG_EN_0,1: SYSTEM_CACHE_ERRM_INT_SIG_EN_1" newline bitfld.long 0x00 11. "CODE_CACHE_ERRS_OVER_INT_SIG_EN,CODE CACHE Access more than one single bit ECC Error Interrupt Signal Enable" "0: CODE_CACHE_ERRS_OVER_INT_SIG_EN_0,1: CODE_CACHE_ERRS_OVER_INT_SIG_EN_1" newline bitfld.long 0x00 10. "CODE_CACHE_ERRM_OVER_INT_SIG_EN,CODE CACHE Access more than one multi bit ECC Error Interrupt Signal Enable" "0: CODE_CACHE_ERRM_OVER_INT_SIG_EN_0,1: CODE_CACHE_ERRM_OVER_INT_SIG_EN_1" newline bitfld.long 0x00 9. "CODE_CACHE_ERRS_INT_SIG_EN,CODE CACHE Access single bit ECC Error Interrupt Signal Enable" "0: CODE_CACHE_ERRS_INT_SIG_EN_0,1: CODE_CACHE_ERRS_INT_SIG_EN_1" newline bitfld.long 0x00 8. "CODE_CACHE_ERRM_INT_SIG_EN,CODE CACHE Access multi bit ECC Error Interrupt Signal Enable" "0: CODE_CACHE_ERRM_INT_SIG_EN_0,1: CODE_CACHE_ERRM_INT_SIG_EN_1" rgroup.long 0x5C++0x03 line.long 0x00 "CODE_CACHE_ECC_SINGLE_ERROR_INFO,CODE CACHE single bit ECC Error Information Register" hexmask.long.byte 0x00 16.--22. 1. "CODE_CACHE_ECCS_EFSYN,CODE CACHE single bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--13. "CODE_CACHE_ECCS_EFPRT,CODE CACHE single bit ECC error prot field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 4.--7. "CODE_CACHE_ECCS_EFMST,CODE CACHE single bit ECC error master number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1. "CODE_CACHE_ECCS_CMD,CODE CACHE single bit ECC error on cache command" "0,1" newline bitfld.long 0x00 0. "CODE_CACHE_ECCS_TAG,CODE CACHE single bit ecc error" "0: CODE_CACHE_ECCS_TAG_0,1: CODE_CACHE_ECCS_TAG_1" rgroup.long 0x60++0x03 line.long 0x00 "CODE_CACHE_ECC_SINGLE_ERROR_ADDR,CODE_CACHE single-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "CODE_CACHE_ECCS_ERRED_ADDR,CODE CACHE single-bit ECC error address" rgroup.long 0x68++0x03 line.long 0x00 "CODE_CACHE_ECC_MULTI_ERROR_INFO,CODE CACHE multi-bit ECC Error Information Register" hexmask.long.byte 0x00 16.--22. 1. "CODE_CACHE_ECCM_EFSYN,CODE CACHE multi-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--13. "CODE_CACHE_ECCM_EFPRT,CODE CACHE multi-bit ECC error prot field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 4.--7. "CODE_CACHE_ECCM_EFMST,CODE CACHE multi-bit ECC error master number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1. "CODE_CACHE_ECCM_CMD,CODE CACHE multiple bit ECC error on code cache command" "0,1" newline bitfld.long 0x00 0. "CODE_CACHE_ECCM_TAG,CODE CACHE multiple bit ecc error" "0: CODE_CACHE_ECCM_TAG_0,1: CODE_CACHE_ECCM_TAG_1" rgroup.long 0x74++0x03 line.long 0x00 "SYSTEM_CACHE_ECC_SINGLE_ERROR_INFO,SYSTEM CACHE single bit ECC Error Information Register" hexmask.long.byte 0x00 16.--22. 1. "SYSTEM_CACHE_ECCS_EFSYN,SYSTEM CACHE single bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--13. "SYSTEM_CACHE_ECCS_EFPRT,SYSTEM CACHE single bit ECC error prot field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 4.--7. "SYSTEM_CACHE_ECCS_EFMST,SYSTEM CACHE single bit ECC error master number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1. "SYSTEM_CACHE_ECCS_CMD,SYSTEM CACHE single bit ECC error on cache command" "0,1" newline bitfld.long 0x00 0. "SYSTEM_CACHE_ECCS_TAG,SYSTEM CACHE single bit ecc error" "0: SYSTEM_CACHE_ECCS_TAG_0,1: SYSTEM_CACHE_ECCS_TAG_1" rgroup.long 0x78++0x03 line.long 0x00 "SYSTEM_CACHE_ECC_SINGLE_ERROR_ADDR,SYSTEM_CACHE single-bit ECC Error Address Register" hexmask.long 0x00 0.--31. 1. "SYSTEM_CACHE_ECCS_ERRED_ADDR,SYSTEM CACHE single-bit ECC error address" rgroup.long 0x80++0x03 line.long 0x00 "SYSTEM_CACHE_ECC_MULTI_ERROR_INFO,SYSTEM CACHE multi-bit ECC Error Information Register" hexmask.long.byte 0x00 16.--22. 1. "SYSTEM_CACHE_ECCM_EFSYN,SYSTEM CACHE multi-bit ECC error corresponding syndrome" newline bitfld.long 0x00 8.--13. "SYSTEM_CACHE_ECCM_EFPRT,SYSTEM CACHE multi-bit ECC error prot field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 4.--7. "SYSTEM_CACHE_ECCM_EFMST,SYSTEM CACHE multi-bit ECC error master number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 1. "SYSTEM_CACHE_ECCM_CMD,SYSTEM CACHE multiple bit ECC error on SYSTEM CACHE command" "0,1" newline bitfld.long 0x00 0. "SYSTEM_CACHE_ECCM_TAG,SYSTEM CACHE multiple bit ecc error" "0: SYSTEM_CACHE_ECCM_TAG_0,1: SYSTEM_CACHE_ECCM_TAG_1" rgroup.long 0x84++0x03 line.long 0x00 "SYSTEM_CACHE_ECC_MULTI_ERROR_DATA,SYSTEM CACHE multi-bit ECC Error Data Register" hexmask.long 0x00 0.--31. 1. "SYSTEM_CACHE_ECCM_ERRED_DATA,SYSTEM CACHE multi-bit ECC error data" group.long 0x8C++0x03 line.long 0x00 "CODE_CACHE_TAG0_ECC_ERROR_INJEC,CODE CACHE TAG0 ECC Error Injection Register" bitfld.long 0x00 19. "CODE_CACHE_TAG0_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 18. "CODE_CACHE_TAG0_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 17. "CODE_CACHE_TAG0_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 16. "CODE_CACHE_TAG0_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "CODE_CACHE_TAG0_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "CODE_CACHE_TAG0_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x90++0x03 line.long 0x00 "CODE_CACHE_TAG1_ECC_ERROR_INJEC,CODE CACHE TAG0 ECC Error Injection Register" bitfld.long 0x00 19. "CODE_CACHE_TAG1_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE CACHE TAG1 write access" "0,1" newline bitfld.long 0x00 18. "CODE_CACHE_TAG1_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE_CACHE_TAG1 write access" "0,1" newline bitfld.long 0x00 17. "CODE_CACHE_TAG1_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on CODE CACHE TAG1 write access" "0,1" newline bitfld.long 0x00 16. "CODE_CACHE_TAG1_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on CODE CACHE TAG1 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "CODE_CACHE_TAG1_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "CODE_CACHE_TAG1_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x94++0x03 line.long 0x00 "CODE_CACHE_DATA0_ECC_ERROR_INJEC,CODE CACHE DATA0 ECC Error Injection Register" bitfld.long 0x00 19. "CODE_CACHE_DATA0_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE_CACHE_DATA0 write access" "0,1" newline bitfld.long 0x00 18. "CODE_CACHE_DATA0_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE CACHE DATA0 write access" "0,1" newline bitfld.long 0x00 17. "CODE_CACHE_DATA0_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on CODE CACHE DATA0 write access" "0,1" newline bitfld.long 0x00 16. "CODE_CACHE_DATA0_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on CODE CACHE DATA0 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "CODE_CACHE_DATA0_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "CODE_CACHE_DATA0_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x98++0x03 line.long 0x00 "CODE_CACHE_DATA1_ECC_ERROR_INJEC,CODE CACHE DATA1 ECC Error Injection Register" bitfld.long 0x00 19. "CODE_CACHE_DATA1_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 18. "CODE_CACHE_DATA1_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 17. "CODE_CACHE_DATA1_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on CODE CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 16. "CODE_CACHE_DATA1_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on CODE CACHE DATA1 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "CODE_CACHE_DATA1_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "CODE_CACHE_DATA1_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0x9C++0x03 line.long 0x00 "SYTEM_CACHE_TAG0_ECC_ERROR_INJEC,SYSTEM CACHE TAG0 ECC Error Injection Register" bitfld.long 0x00 19. "SYSTEM_CACHE_TAG0_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 18. "SYSTEM_CACHE_TAG0_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on CODE CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 17. "SYSTEM_CACHE_TAG0_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on SYSTEM CACHE TAG0 write access" "0,1" newline bitfld.long 0x00 16. "SYSTEM_CACHE_TAG0_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on SYSTEM CACHE TAG0 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "SYSTEM_CACHE_TAG0_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "SYSTEM_CACHE_TAG0_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0xA0++0x03 line.long 0x00 "SYSTEM_CACHE_TAG1_ECC_ERROR_INJEC,SYSTEM CACHE TAG0 ECC Error Injection Register" bitfld.long 0x00 19. "SYSTEM_CACHE_TAG1_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on SYSTEM CACHE TAG1 write access" "0,1" newline bitfld.long 0x00 18. "SYSTEM_CACHE_TAG1_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on SYSTEM_CACHE_TAG1 write access" "0,1" newline bitfld.long 0x00 17. "SYSTEM_CACHE_TAG1_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on SYSTEM CACHE TAG1 write access" "0,1" newline bitfld.long 0x00 16. "SYSTEM_CACHE_TAG1_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on SYSTEM CACHE TAG1 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "SYSTEMCACHE_TAG1_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "SYSTEM_CACHE_TAG1_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0xA4++0x03 line.long 0x00 "SYSTEM_CACHE_DATA0_ECC_ERROR_INJEC,SYSTEM CACHE DATA0 ECC Error Injection Register" bitfld.long 0x00 19. "SYSTEM_CACHE_DATA0_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on SYSTEM CACHE DATA0 write access" "0,1" newline bitfld.long 0x00 18. "SYSTEM_CACHE_DATA0_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on SYSTEM CACHE DATA0 write access" "0,1" newline bitfld.long 0x00 17. "SYSTEM_CACHE_DATA0_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on SYSTEM CACHE DATA0 write access" "0,1" newline bitfld.long 0x00 16. "SYSTEM_CACHE_DATA0_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on SYSTEM CACHE DATA0 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "SYSTEM_CACHE_DATA0_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "SYSTEM_CACHE_DATA0_ERR1BIT,Bit position of the bit to inject ECC Error" group.long 0xA8++0x03 line.long 0x00 "STSTEM_CACHE_DATA1_ECC_ERROR_INJEC,SYSTEM CACHE DATA1 ECC Error Injection Register" bitfld.long 0x00 19. "SYSTEM_CACHE_DATA1_FRCNCI,Force Continuous Noncorrectable Data Inversions (multi-bit ECC error) on SYSTEM CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 18. "SYSTEM_CACHE_DATA1_FRC1BI,Force Continuous 1-Bit Data Inversions (single-bit ECC error) on SYSTEM CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 17. "SYSTEM_CACHE_DATA1_FR1NCI,Force One Noncorrectable Data Inversion(multi-bit ECC error) on SYSTEM CACHE DATA1 write access" "0,1" newline bitfld.long 0x00 16. "SYSTEM_CACHE_DATA1_FR11BI,Force One 1-Bit Data Inversion(single-bit ECC error) on SYSTEM CACHE DATA1 write access" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "SYSTEM_CACHE_DATA1_ERR2BIT,Bit position of the second bit to inject multi-bit ECC Error" newline hexmask.long.byte 0x00 0.--6. 1. "SYSTEM_CACHE_DATA1_ERR1BIT,Bit position of the bit to inject ECC Error" tree.end tree "MCM" base ad:0xE0080000 rgroup.word 0x00++0x01 line.word 0x00 "PCT,Processor Core Type" hexmask.word 0x00 0.--15. 1. "PCT,This MCM design supports the Arm Cortex core" rgroup.word 0x02++0x01 line.word 0x00 "PLREV,SoC-defined Platform Revision" hexmask.word 0x00 0.--15. 1. "PLREV,The PLREV[15:0] field is specified by an platform input signal to define a software-visible revision number" group.long 0x10++0x03 line.long 0x00 "ISCR,Interrupt Status and Control" bitfld.long 0x00 31. "FIDCE,FPU Input Denormal Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" bitfld.long 0x00 28. "FIXCE,FPU Inexact Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" newline bitfld.long 0x00 27. "FUFCE,FPU Underflow Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" bitfld.long 0x00 26. "FOFCE,FPU Overflow Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" newline bitfld.long 0x00 25. "FDZCE,FPU Divide-by-zero Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" bitfld.long 0x00 24. "FIOCE,FPU Invalid Operation Interrupt Enable" "0: Disable interrupt,1: Enable interrupt" newline bitfld.long 0x00 20. "CWBEE,Cache Write Buffer Error Enable" "0: Disable error interrupt,1: Enable error interrupt" rbitfld.long 0x00 15. "FIDC,FPU Input Denormal Interrupt Status" "0: No interrupt,1: Interrupt occurred" newline rbitfld.long 0x00 12. "FIXC,FPU Inexact Interrupt Status" "0: No interrupt,1: Interrupt occurred" rbitfld.long 0x00 11. "FUFC,FPU Underflow Interrupt status" "0: No interrupt,1: Interrupt occurred" newline rbitfld.long 0x00 10. "FOFC,FPU Overflow Interrupt Status" "0: No interrupt,1: Interrupt occurred" rbitfld.long 0x00 9. "FDZC,FPU Divide-by-zero Interrupt Status" "0: No interrupt,1: Interrupt occurred" newline rbitfld.long 0x00 8. "FIOC,FPU Invalid Operation Interrupt Status" "0: No interrupt,1: Interrupt occurred" eventfld.long 0x00 4. "CWBER,Cache Write Buffer Error Status" "0: No error,1: Error occurred" newline rbitfld.long 0x00 3. "DHREQ,Debug Halt Request Indicator" "0: No debug halt request is initiated,1: Debug halt request is initiated" eventfld.long 0x00 2. "NMI,Non-maskable Interrupt Pending" "0: No pending NMI,1: Due to the ETB counter expiring an NMI is.." newline eventfld.long 0x00 1. "IRQ,ETB related interrupt pending" "0: No Pending IRQ,1: Due to the ETB counter expiring an IRQ is.." group.long 0x14++0x03 line.long 0x00 "ETBCC,ETB Counter Control" bitfld.long 0x00 3. "RLRQ,Reload Request" "0: No effect,1: Clear pending debug halt NMI or IRQ interrupt.." bitfld.long 0x00 1.--2. "RSPT,Response Type" "0: No response when the ETB count expires,1: Generate a normal interrupt when the ETB..,2: Generate an NMI when the ETB count expires,3: Generate a debug halt when the ETB count.." newline bitfld.long 0x00 0. "CNTEN,Counter Enable" "0: ETB counter is disabled,1: ETB counter is enabled" group.long 0x18++0x03 line.long 0x00 "ETBRR,ETB Reload" hexmask.long.word 0x00 0.--10. 1. "RELOAD,Byte Count Reload Value" rgroup.long 0x1C++0x03 line.long 0x00 "ETBCV,ETB Counter Value" hexmask.long.word 0x00 0.--10. 1. "COUNTER,Byte Count Counter Value" rgroup.long 0x20++0x03 line.long 0x00 "FADR,Store Buffer Fault Address" hexmask.long 0x00 0.--31. 1. "ADDRESS,Fault address" rgroup.long 0x24++0x03 line.long 0x00 "FATR,Store Buffer Fault Attributes" bitfld.long 0x00 31. "BEOVR,Bus Error Overrun" "0: No bus error overrun,1: Bus error overrun occurred" bitfld.long 0x00 8.--11. "BEMN,Bus Error Master Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "BEWT,Bus Error Write" "0: Read access,1: Write access" bitfld.long 0x00 4.--5. "BESZ,Bus Error Size" "0: 8-bit access,1: 16-bit access,2: 32-bit access,?..." newline bitfld.long 0x00 1. "BEMD,Bus Error Privilege level" "0: User mode,1: Supervisor/privileged mode" bitfld.long 0x00 0. "BEDA,Bus Error Data Access Type" "0: Instruction,1: beda1" rgroup.long 0x28++0x03 line.long 0x00 "FDR,Store Buffer Fault Data" hexmask.long 0x00 0.--31. 1. "DATA,Fault Data" tree.end tree "MEDIAMIX_BLK_CTRL" base ad:0x4AC10000 group.long 0x00++0x03 line.long 0x00 "RESET,RESET Control Register" bitfld.long 0x00 12. "ref_clk_en,DSI ref_clk reset" "0: Reset DSI reference clock related logic,1: Do not reset DSI reference clock related logic" newline bitfld.long 0x00 11. "dsi_apb_en,DSI apb_clk reset" "0: Reset DSI apb_clk related logic,1: Do not reset DSI apb_clk related logic" newline bitfld.long 0x00 10. "cam_clk_en,Camera clock reset" "0: Reset camera related logic,1: Do not reset camera clock related logic" newline bitfld.long 0x00 9. "csi_apb_en,CSI apb_clk reset" "0: Reset CSI apb_clk related logic,1: Do not reest CSI apb_clk related logic" newline bitfld.long 0x00 8. "pxp_axi_en,PXP axi_clk reset" "0: Reset PXP axi_clk related logic,1: Do not reset PXP axi_clk related logic" newline bitfld.long 0x00 7. "pxp_apb_en,PXP apb_clk reset" "0: Reset PXP apb_clk related logic,1: Do not reset PXP apb_clk related logic" newline bitfld.long 0x00 6. "lcdif_pix_en,LCDIF pix_clk reset" "0: Reset LCDIF pix_clk related logic,1: Do not reset LCDIF pix_clk related logic" newline bitfld.long 0x00 5. "lcdif_axi_en,LCDIF axi_clk reset" "0: Reset LCDIF axi_clk related logic,1: Do not reset LCDIF axi_clk related logic" newline bitfld.long 0x00 4. "lcdif_apb_en,LCDIF apb_clk reset" "0: Reset LCDIF apb_clk related logic,1: Do not reset LCDIF apb_clk related logic" newline bitfld.long 0x00 3. "isi_proc_en,ISI axi_clk reset" "0: Reset ISI axi_clk related logic,1: Do not reset ISI axi_clk related logic" newline bitfld.long 0x00 2. "isi_apb_en,ISI apb_clk reset" "0: Reset ISI apb_clk related logic,1: Do not reset ISI apb_clk related logic" newline bitfld.long 0x00 1. "bus_blk_en,Bus axi_clk reset" "0: Reset bus axi_clk related logic,1: Do not reset bus axi_clk related logic" newline bitfld.long 0x00 0. "bus_apb_en,Bus apb_clk reset" "0: Reset bus apb_clk related logic,1: Do not reset bus apb_clk related logic" group.long 0x04++0x03 line.long 0x00 "CLK,CLK Control Register" bitfld.long 0x00 12. "ref_clk_en,DSI ref_clk gate enable" "0: Do not gate DSI ref_clk root,1: Gate DSI ref_clk root" newline bitfld.long 0x00 11. "dsi_apb_en,DSI apb_clk gate enable" "0: Do not gate DSI apb_clk root,1: Gate DSI apb_clk root" newline bitfld.long 0x00 10. "cam_clk_en,cam_clk gate enable" "0: Do not gate cam_clk root,1: Gate cam_clk root" newline bitfld.long 0x00 9. "csi_apb_en,CSI apb_clk gate enable" "0: Do not gate CSI apb_clk root,1: Gate CSI apb_clk root" newline bitfld.long 0x00 8. "pxp_axi_en,PXP axi_clk gate enable" "0: Do not gate PXP axi_clk root,1: Gate PXP axi_clk root" newline bitfld.long 0x00 7. "pxp_apb_en,PXP apb_clk gate enable" "0: Do not gate PXP apb_clk root,1: Gate PXP apb_clk gate root" newline bitfld.long 0x00 6. "lcdif_pix_en,LCDIF pix_clk gate enable" "0: Do not gate LCDIF pix_clk root,1: Gate LCDIF pix_clk root" newline bitfld.long 0x00 5. "lcdif_axi_en,LCDIF axi_clk gate enable" "0: Do not gate LCDIF axi_clk root,1: Gate LCDIF axi_clk root" newline bitfld.long 0x00 4. "lcdif_apb_en,LCDIF apb_clk gate enable" "0: Do not gate LCDIF apb_clk root,1: Gate LCDIF apb_clk root" newline bitfld.long 0x00 3. "isi_proc_en,ISI axi_clk gate enable" "0: Do not gate ISI axi_clk root,1: Gate ISI axi_clk root" newline bitfld.long 0x00 2. "isi_apb_en,ISI apb_clk gate enable" "0: Do not gate ISI apb_clk root,1: Gate ISI apb_clk root" newline bitfld.long 0x00 1. "bus_blk_en,Bus axi_clk gate enable" "0: Do not gate bus axi_clk root,1: Gate bus axi_clk root" newline bitfld.long 0x00 0. "bus_apb_en,Bus apb_clk gate enable" "0: Do not gate bus apb_clk root,1: Gate bus apb_clk root" group.long 0x0C++0x03 line.long 0x00 "LCDIF,QOS and cache of LCDIF" bitfld.long 0x00 12.--15. "DEFAULT_QOS,Default QoS value of LCDIF" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "CFG_QOS,cfg_qos value of LCDIF" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "ARCACHE_EN,ARCACHE enable of LCDIF" "0: Do not enable ARCACHE,1: Enable ARCACHE" newline bitfld.long 0x00 0.--3. "ARCACHE,ARCACHE value of LCDIF" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10++0x03 line.long 0x00 "PXP,QOS and cache of PXP" bitfld.long 0x00 28.--31. "DEFAULT_QOS_OTHER_R,Default QoS R value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "CFG_QOS_OTHER_R,cfg_qos R value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "DEFAULT_QOS_OTHER_W,Default QoS W value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "CFG_QOS_OTHER_W,cfg_qos W value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12. "AWCACHE_EN,AWCACHE enable of PXP" "0: Do not enable AWCACHE,1: Enable AWCACHE" newline bitfld.long 0x00 8.--11. "AWCACHE,AWCACHE value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "ARCACHE_EN,ARCACHE enable of PXP" "0: Do not enable ARCACHE,1: Enable ARCACHE" newline bitfld.long 0x00 0.--3. "ARCACHE,ARCACHE value of PXP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x14++0x03 line.long 0x00 "ISI0,Cache of ISI" bitfld.long 0x00 19. "AWCACHE_V_EN,AWCACHE_V enable of ISI" "0: Do not enable AWCACHE_V,1: Enable AWCACHE_V" newline bitfld.long 0x00 18. "AWCACHE_U_EN,AWCACHE_U enable of ISI" "0: Do not enable AWACHE_U,1: Enable AWCACHE_U" newline bitfld.long 0x00 17. "AWCACHE_Y_EN,AWCACHE_Y enable of ISI" "0: Do not enable AWCACHE_Y,1: Enable AWCACHE_Y" newline bitfld.long 0x00 16. "ARCACHE_Y_EN,ARCACHE_Y enable of ISI" "0: Do not enable ARCACHE_Y,1: Enable ARCACHE_Y" newline bitfld.long 0x00 12.--15. "AWCACHE_V,AWCACHE_V value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "AWCACHE_U,AWCACHE_U value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "AWCACHE_Y,AWCACHE_Y value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "ARCACHE_Y,ARCACHE_Y value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C++0x03 line.long 0x00 "ISI1,QoS of ISI" bitfld.long 0x00 28.--31. "DEFAULT_QOS_V,Default QOS_V value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "CFG_QOS_V,CFG_QOS_V value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "DEFAULT_QOS_U,Default QoS value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "CFG_QOS_U,cfg_qos_u value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "DEFAULT_QOS_Y_R,Default QOS_Y_R value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "CFG_QOS_Y_R,CFG_QOS_Y_R value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "DEFAULT_QOS_Y_W,Default QOS_Y_W value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "CFG_QOS_Y_W,cfg_qos_y_w value of ISI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20++0x03 line.long 0x00 "LDB_CTRL,LDB Control Register" bitfld.long 0x00 31. "PHY_TEST_EN,PHY Test Enable" "0: Do not enable PHY test,1: Enable PHY test" newline bitfld.long 0x00 29.--30. "PHY_TEST_MODE,PHY Test Mode" "0: All 0,1: All 1,2: 0 1 0 1 0 1,3: 0 1 0 1 0 1" newline bitfld.long 0x00 28. "PHY_CLK_EN,Clock AC Test Enable" "0,1" newline bitfld.long 0x00 25.--27. "ASYNC_FIFO_THRESHOLD,LVDS channel 0 async FIFO threshold" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24. "ASYNC_FIFO_ENABLE,LVDS channel 0 async FIFO enable" "0: DISABLE,1: Enable async FIFO to buffer RGB data" newline bitfld.long 0x00 23. "CLK_DC_PHY_MODE,CLK DC PHYmode" "0: Clock lane is 0,1: Clock lane is 1" newline bitfld.long 0x00 22. "CLK_DC_PHY_TEST_EN,CLK DC PHY Test Enable" "0,1" newline bitfld.long 0x00 20.--21. "COUNTER_RESET_VAL,Reset value of counter" "0,1,2,3" newline bitfld.long 0x00 16.--18. "LVDS_CLK_SHIFT,Controls the LVDS clock's phase in relation to data" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "BGREF_RMODE,Selects reference resistor for BANDGAP" "0,1" newline bitfld.long 0x00 11. "CH0_FIFO_RESET,LVDS channel 0 async FIFO software reset" "0: No action,1: Software reset" newline bitfld.long 0x00 9. "CH0_VSYNC_POLARITY,VSYNC polarity select for LVDS channel 0" "0: VSYNC is active low,1: VSYNC is active high" newline bitfld.long 0x00 6. "CH0_BIT_MAPPING,Data mapping for LVDS channel 0" "0: Use SPWG standard,1: Use JEIDA standard" newline bitfld.long 0x00 5. "CH0_DATA_WIDTH,Data width for LVDS channel 0" "?,1: Data width is 24 bits wide" newline bitfld.long 0x00 4. "SPLIT_MODE,default value is 1'b0" "0,1" newline bitfld.long 0x00 1. "CH0_DI_SELECT,Selects LDB data source for LVDS channel 0" "0: LDB data is from source 0,?..." newline bitfld.long 0x00 0. "CH0_ENABLE,LVDS channel 0 enable" "0: Channel disabled,1: Channel enabled" group.long 0x24++0x03 line.long 0x00 "LVDS,LVDS Control Register" bitfld.long 0x00 25.--27. "SPARE_IN,Spare ports" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24. "TEST_RANDOM_NUM_EN,Random number generator enable" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 22.--23. "TEST_MUX_SRC,Select which signals to test" "0: test_do[0],1: test_do[1],2: test_do[2],3: test_do[3]" newline bitfld.long 0x00 21. "TEST_EN,Test enable" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 20. "TEST_DIV4,Divide the input signal/clock by 4" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 17.--19. "VBG_ADJ,Bandgap adjustment" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 14.--16. "SLEW_ADJ,Output transition time adjustment" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11.--13. "CC_ADJ,Output current adjustment" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--10. "CM_ADJ,Output common mode (Vos) adjustment" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5.--7. "PRE_EMPH_ADJ,Pre-emphasis adjustment" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4. "PRE_EMPH_EN,Enable pre-emphasis" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 3. "HS_EN,Enable 100 ohm termination in the chip enable which also doubles power dissipation" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 2. "BG_EN,Bandgap enable" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 1. "LVDS_EN,LVDS PHY enable" "0: Enable,1: DISABLE" newline bitfld.long 0x00 0. "CH0_EN,Channel 0 enable" "0: Disabled,1: Enabled" group.long 0x28++0x03 line.long 0x00 "LIMITER0,Limiter enable and ISI Threshold Register" bitfld.long 0x00 1. "EN_PXP,Limiter enable of PXP" "0: Do not enable limiter,1: Enable limiter" newline bitfld.long 0x00 0. "EN_SF,Limiter enable of SF" "0: Do not enable limiter,1: Enable limiter" group.long 0x2C++0x03 line.long 0x00 "LIMITER1,LCDIF and PXP Threshold Register" hexmask.long.word 0x00 16.--31. 1. "THRESH_SF,Limiter threshold value of SF" newline hexmask.long.word 0x00 0.--15. 1. "THRESH_PXP,Limiter threshold value of PXP" group.long 0x30++0x03 line.long 0x00 "CAMERA_MUX,Camera Mux Control Register" bitfld.long 0x00 28.--29. "SEQ_CFG_C,After SEQ_SEL is configured configure c" "0: c = R/V,1: c = G/Y,2: c = B/U,?..." newline bitfld.long 0x00 26.--27. "SEQ_CFG_B,After SEQ_SEL is configured configure b" "0: b = R/V,1: b = G/Y,2: b = B/U,?..." newline bitfld.long 0x00 24.--25. "SEQ_CFG_A,After SEQ_SEL is configured configure a" "0: a = R/V,1: a = G/Y,2: a = B/U,?..." newline bitfld.long 0x00 20.--23. "SEQ_SEL,Sensor output sequence select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 18. "PARALLEL_TYPE,Parallel camera type select" "0: Like sensor OV5640 VSYNC low is active,1: Like sensor MT9M114 VSYNC high is active" newline bitfld.long 0x00 17. "SOURCE_TYPE,Source type" "0: Data from MIPI CSI,1: Data from parallel camera" newline bitfld.long 0x00 16. "ENABLE,Gasket enable" "0: Disable gasket,1: Enable gasket" newline bitfld.long 0x00 14. "LEFT_JUST_MODE,Left justified mode" "0: For RGB565/RGB666/RGB888,1: For RGB565/RGB666/RGB888" newline bitfld.long 0x00 13. "YUV420_LINE_SEL,YUV420 line select" "0: Odd line first for YUV420 data type,1: Even line first for YUV420 data type" newline bitfld.long 0x00 11.--12. "VC_IDENTIFY,Virtual channel identifier" "0,1,2,3" newline bitfld.long 0x00 9.--10. "INTERFACE_MODE,Interface mode" "0: Not interlaced,1: Interfacled left,2: Interlaced right,?..." newline bitfld.long 0x00 3.--8. "DATA_TYPE,Data type" "?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,24: YUV420 8-bit,25: YUV420 10-bit,26: Legacy YUV420 (8-bit),?,28: YUV420 8-bit (Chroma Shifted Pixel Sampling),29: YUV420 10-bit (Chroma Shifted Pixel Sampling),30: YUV422 8-bit,31: YUV422 10-bit,?,?,34: RGB565,35: RGB666,36: RGB888,?,?,?,40: RAW6,41: RAW7,42: RAW8,43: RAW10,44: RAW12,45: RAW14,?,?,48: User define32,49: User define16,?..." rgroup.long 0x3C++0x03 line.long 0x00 "PIXEL_CTRL,Read Pixel Control Register" hexmask.long.word 0x00 0.--11. 1. "PIXEL_CTRL,Read pixel control information status" rgroup.long 0x40++0x03 line.long 0x00 "PIXEL_CNT,Read Pixel Count Register" hexmask.long 0x00 0.--31. 1. "PIXEL_CNT,Read pixel count status from MIPI to ISI wrapper" rgroup.long 0x44++0x03 line.long 0x00 "LINE_CNT,Read Line Count Register" hexmask.long 0x00 0.--31. 1. "LINE_CNT,Read line count status from MIPI to ISI wrapper" group.long 0x48++0x03 line.long 0x00 "CSI,CSI Register" hexmask.long.byte 0x00 24.--30. 1. "CONT_DATA,Continuity data" newline rbitfld.long 0x00 23. "ERRSYNCESC_1,Low power data transmission synchronization error lane 1" "0: No error detected,1: The number of bits received during low-power.." newline rbitfld.long 0x00 22. "ERRSYNCESC_0,Low power data transmission synchronization error lane 0" "0: No error detected,1: The number of bits received during low-power.." newline rbitfld.long 0x00 21. "ERRCONTROL_1,Control error lane 1" "0: No error detected,1: An incorrect line state sequence is detected" newline rbitfld.long 0x00 20. "ERRCONTROL_0,Control error lane 0" "0: No error detected,1: An incorrect line state sequence is detected" newline rbitfld.long 0x00 19. "ERRCONTENTIONLP1_0,LP1 contention error" "0: No error detected,1: A lane module functioning as Tx while its.." newline rbitfld.long 0x00 18. "ERRCONTENTIONLP0_0,LP0 contention error" "0: No error detected,1: A lane module functioning as Tx while its.." newline rbitfld.long 0x00 17. "DIRECTION_0,Transmit/receive direction" "0: The lane interconnect is in transmit mode,1: The lane interconnect is in receive mode" newline bitfld.long 0x00 16. "CONT_EN,Continuity test mode enable" "0: Disble I/O continuity test mode,1: Enable I/O continuity test mode" newline hexmask.long.byte 0x00 8.--14. 1. "HSFREQRANGE,High-speed frequency range" newline bitfld.long 0x00 0.--5. "CFGCLKFREQRANGE,System clock frequency configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x4C++0x03 line.long 0x00 "DSI,DSI Register" hexmask.long.word 0x00 21.--31. 1. "cont_data,cont_data" newline bitfld.long 0x00 20. "clk_ext,clk_ext" "0,1" newline bitfld.long 0x00 19. "gp_clk_en,Enable for clkout_gp clock" "0: Disable clkout_gp clock,1: Enable clkout_gp clock" newline bitfld.long 0x00 18. "shadow_clear,Shadow registers clear" "0,1" newline bitfld.long 0x00 17. "updatepll,Control for PLL operation frequency udpated" "0,1" newline bitfld.long 0x00 16. "CONT_EN,Continuity test mode enable" "0: Disable I/O continuity test mode,1: Enable I/O continuity test mode" newline hexmask.long.byte 0x00 8.--14. 1. "HSFREQRANGE,High-speed frequency range selection" newline bitfld.long 0x00 6.--7. "CLKSEL,Control of PLL clock output selection" "0: Clocks stopped,1: Clock generation,2: buffered clkext,3: FORBIDDEN" newline bitfld.long 0x00 0.--5. "CFGCLKFREQRANGE,System clock frequency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x50++0x03 line.long 0x00 "DSI_W0,DSI Write Register 0" bitfld.long 0x00 26.--31. "INT_CNTRL,Integral charge pump control for SoC direct PLL control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 20.--25. "PROP_CNTRL,Proportional charge pump control for SoC direct PLL control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 14.--19. "VCO_CNTRL,VCO operating range for SoC direct PLL control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--13. "N,Control of the input frequency division ratio N (1 to 16) for SoC direct PLL control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--9. 1. "M,Control of the feedback multiplication ratio M (40 to 625) for SoC direct PLL control" group.long 0x54++0x03 line.long 0x00 "DSI_W1,DSI Write Register 1" bitfld.long 0x00 11. "BISTON,BIST start" "0: Do not start BIST,1: Start BIST" newline bitfld.long 0x00 10. "FORCE_LOCK,PLL force lock control" "0: According to lock detector,1: Force PLL internal lock indication" newline bitfld.long 0x00 9. "PLL_SHADOW_CONTROL,PLL configuration select" "0: SoC control and shadow registers,1: D-PHY control" newline hexmask.long.byte 0x00 2.--8. 1. "CPBIAS_CNTRL,Charge pump bias control" newline bitfld.long 0x00 0.--1. "GMP_CNTRL,Effective loop-filter resistance (=1/gmp) control" "0,1,2,3" rgroup.long 0x58++0x03 line.long 0x00 "DSI_R0,DSI Read Register 0" bitfld.long 0x00 26.--31. "INT_CNTRL,Integral charge pump status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 20.--25. "PROP_CNTRL,Proportional charge pump status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 14.--19. "VCO_CNTRL,VCO operating range" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 10.--13. "N,Input frequency division ratio N (1 to 16)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--9. 1. "M,Feedback multiplication ratio M (40 to 625)" rgroup.long 0x5C++0x03 line.long 0x00 "DSI_R1,DSI Read Register 1" bitfld.long 0x00 10. "LOCK_PLL,PLL lock state" "0: PLL is not locked,1: PLL is locked" newline bitfld.long 0x00 9. "PLL_SHADOW_CONTROL,PLL configuration select" "0,1" newline hexmask.long.byte 0x00 2.--8. 1. "CPBIAS_CNTRL,Charge pump bias status" newline bitfld.long 0x00 0.--1. "GMP_CNTRL,Effective loop-filter resistance (=1/gmp) status" "0,1,2,3" group.long 0x60++0x03 line.long 0x00 "DISPLAY_MUX,Display Mux Control Register" bitfld.long 0x00 8.--10. "PARALLEL_DISP_FORMAT,Parallel display format configuration" "0: RGB888 -> RGB888,1: RGB888 -> RGB666,2: RGB565 -> RGB565,3: RGB555 -> RGB555,4: YUV -> YCbCr 24 bits,5: YUV -> YUV444,?..." newline bitfld.long 0x00 6.--7. "MIPI_DSI_RGB666_MAP_CFG,MIPI DSI RGB666 bit map configuration aligned with MIPI DSI pixel component bit map configuration" "0: Config 1,1: Config 2,?..." newline bitfld.long 0x00 4.--5. "MIPI_DSI_RGB565_MAP_CFG,MIPI DSI RGB565 bit map configuration aligned with MIPI DSI pixel component bit map configuration" "0: Config 1,1: Config 2,2: Config 3,?..." newline bitfld.long 0x00 0.--3. "LCDIF_CROSS_LINE_PATTERN,LCDIF pixel component bit map configuration aligned with the cross line pattern configuration on LCDIF" "0: RGB888 to RGB888,1: RBG888 to RGB888,2: GBR888 to RGB888,3: GRB888 to RGB888,4: BRG888 to RGB888,5: BGR888 to RGB888,6: RGB888 to RGB666,7: RGB565 to RGB565,8: YUYV to YUYV from LCDIF[16:0],9: UYVY to YUYV from LCDIF[16:0],?,?,12: YUYV to YUYV from LCDIF[23:8],13: UYVY to YUYV from LCDIF[23:8],?..." group.long 0x70++0x03 line.long 0x00 "IF_CTRL_REG,Parallel Camera Interface Register" bitfld.long 0x00 9.--13. "DATA_TYPE,Date type to ISI control bus [11:7]" "0: Null data,?,?,?,4: RGB format,?,?,?,8: YUV444 format,?,?,?,?,?,?,?,16: YYU420 type(a) odd line (not supported),?,18: YYU420 type(a) even line (not supported),?,?,?,?,?,24: YYU420 type(a) YYY odd line (not supported),?,26: YYU420 type(b) UYVY even line (not supported),?,28: RAW,?..." newline bitfld.long 0x00 8. "DATA_TYPE_SEL,Pixel link data type select" "0: Pixel Link data type comes from the CSI..,1: Pixel Link data type comes from IF_CTRL_REG.." rgroup.long 0x74++0x03 line.long 0x00 "INTERFACE_STATUS,Interface Status Register" bitfld.long 0x00 1. "ECC_ERROR_STATUS,ECC error status" "0: No ECC error detected,1: ECC error detected" newline bitfld.long 0x00 0. "FIELD_TOGGLE_STATUS,Field toggle status" "0: VSYNC field toggle mode disabled,1: VSYNC field toggle mode enabled" group.long 0x78++0x03 line.long 0x00 "INTERFACE_CTRL_REG,Interface Control Register" bitfld.long 0x00 31. "SOFTRST,CSI interface software reset" "0: Assert software reset of CSI interface,1: Do not perform software reset of CSI interface" newline bitfld.long 0x00 27.--28. "MASK_VSYNC_CNTR,CSI mask VSYNC counter" "0: No mask,1: Mask 1 frame,2: Mask 2 frames,3: Mask 3 frames" newline bitfld.long 0x00 23.--26. "DATA_TYPE_IN,CSI input data type" "0: UYVY bt656 8-bit,1: UYVY bt656 10-bit,2: RGB 8-bit,3: BGR 8-bit,4: RGB 24-bit (not supported),5: YUV422 YVYU 8-bit,6: YUV444 YUV 8-bit,7: YUV422 YVUY 16-bit (not supported),8: YUV444 YUV 24-bit (not supported),9: Bayer 8-bit,10: Bayer 10-bit,11: Bayer 12-bit (not supported),12: Bayer 14-bit (not supported),?..." newline bitfld.long 0x00 22. "UV_SWAP_EN,UV swap enable" "0: UV swap disable,1: UV swap enable" newline bitfld.long 0x00 19.--21. "HSYNC_PULSE,HSYNC_PULSE" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 17. "HSYNC_OUT_SEL,HSYNC output select" "0: HYSNC output level,1: HYSNC output pulse" newline bitfld.long 0x00 16. "RAW_OUT_SEL,RAW output select" "0: Right justified output,1: Left justified to 14-bit output" newline bitfld.long 0x00 15. "VALID_SEL,Data valid select" "0: HSYNC data valid,1: Data enable valid" newline bitfld.long 0x00 14. "GCLK_MODE_EN,Gate clock mode enable" "0: DISABLE,1: ENABLE" newline bitfld.long 0x00 13. "VSYNC_FORCE_EN,VSYNC force enable" "0: Do not override VSYNC,1: Override VSYNC" newline bitfld.long 0x00 12. "HSYNC_FORCE_EN,HSYNC force enable" "0: Do not override HSYNC,1: Override HSYNC" newline bitfld.long 0x00 11. "CCIR_ECC_CORR_EN,CCIR error correction enable" "0: ECC error correction is disabled,1: ECC error correction is enabled" newline bitfld.long 0x00 10. "CCIR_VSYNC_RST_EN,CCIR_VSYNC_RESET_EN" "0,1" newline bitfld.long 0x00 9. "CCIR_NTSC_EN,CCIR NTSC enable" "0: PAL,1: NTSC" newline bitfld.long 0x00 8. "CCIR_VIDEO_MODE,CCIR video mode" "0: Progressive mode,1: INTERLACE" newline bitfld.long 0x00 7. "CCIR_EN,CCIR mode enable" "0: CCIR mode disable,1: CCIR mode enable" newline bitfld.long 0x00 6. "CCIR_EXT_VSYNC_EN,External VSYNC enable" "0,1" newline bitfld.long 0x00 5. "PIXEL_DATA_POL,PIXEL_DATA polarity control" "0: PIXEL_DATA output to Pixel Link is not inverted,1: PIXEL_DATA output to Pixel Link is inverted" newline bitfld.long 0x00 4. "DE_POL,DE polarity control" "0: DE output to Pixel Link is not inverted,1: DE output to Pixcel Link is inverted" newline bitfld.long 0x00 3. "VSYNC_POL,VSYNC polarity control" "0: VSYNC output to Pixel Link is not inverted,1: VSYNC output to Pixel Link is inverted" newline bitfld.long 0x00 2. "HSYNC_POL,HSYNC polarity control" "0: HSYNC output to Pixel Link is not inverted,1: HSYNC output to Pixel Link is inverted" newline bitfld.long 0x00 1. "PIXEL_CLK_POL,Pixel clock polarity control" "0: Pixel clcok is not inverted,1: Pixel clock input is inverted" newline bitfld.long 0x00 0. "CSI_EN,CSI interface enable" "0: Disable CSI interface,1: Enable CSI interface" group.long 0x7C++0x03 line.long 0x00 "INTERFACE_CTRL_REG1,Interface Control Register 1" hexmask.long.word 0x00 16.--31. 1. "PIXEL_WIDTH,CSI interface pixel width" newline hexmask.long.word 0x00 0.--15. 1. "VSYNC_PULSE,VSYNC pulse width" group.long 0x80++0x03 line.long 0x00 "tie_reg_0,tie_reg_0" bitfld.long 0x00 31. "dac_pxp_xcpt_rtn,dac_pxp_xcpt_rtn" "0,1" newline bitfld.long 0x00 30. "dac_pxp_tzm_enable,dac_pxp_tzm_enable" "0,1" newline bitfld.long 0x00 24.--29. "dac_pxp_pid_in,dac_pxp_pid_in" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 23. "dac_lcdif_xcpt_rtn,dac_lcdif_xcpt_rtn" "0,1" newline bitfld.long 0x00 22. "dac_lcdif_tzm_enable,dac_lcdif_tzm_enable" "0,1" newline bitfld.long 0x00 16.--21. "dac_lcdif_pid_in,dac_lcdif_pid_in" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "dac_isi_2_xcpt_rtn,dac_isi_2_xcpt_rtn" "0,1" newline bitfld.long 0x00 14. "dac_isi_2_tzm_enable,dac_isi_2_tzm_enable" "0,1" newline bitfld.long 0x00 8.--13. "dac_isi_2_pid_in,dac_isi_2_pid_in" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 7. "dac_isi_1_xcpt_rtn,dac_isi_1_xcpt_rtn" "0,1" newline bitfld.long 0x00 6. "dac_isi_1_tzm_enable,dac_isi_1_tzm_enable" "0,1" newline bitfld.long 0x00 0.--5. "dac_isi_1_pid_in,dac_isi_1_pid_in" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x84++0x03 line.long 0x00 "tie_reg_1,tie_reg_1" bitfld.long 0x00 31. "dac_pxp_idau_vld,dac_pxp_idau_vld" "0,1" newline bitfld.long 0x00 30. "dac_lcdif_idau_vld,dac_lcdif_idau_vld" "0,1" newline bitfld.long 0x00 29. "dac_isi_2_idau_vld,dac_isi_2_idau_vld" "0,1" newline bitfld.long 0x00 28. "dac_isi_1_idau_vld,dac_isi_1_idau_vld" "0,1" newline bitfld.long 0x00 3. "ssi_power_control,ssi_power_control" "0,1" newline bitfld.long 0x00 2. "ssi_iso_control,ssi_iso_control" "0,1" newline bitfld.long 0x00 1. "ssi_div_link_clk_by_2,ssi_div_link_clk_by_2" "0,1" newline bitfld.long 0x00 0. "ssi_black_hole_mode_b,ssi_black_hole_mode_b" "0,1" group.long 0x88++0x03 line.long 0x00 "tie_reg_2,tie_reg_2" bitfld.long 0x00 25. "csi_txclkesc,csi_txclkesc" "0,1" newline bitfld.long 0x00 24. "csi_txvalidesc_0,csi_txvalidesc_0" "0,1" newline bitfld.long 0x00 20.--23. "csi_txtriggeresc_0,csi_txtriggeresc_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "csi_txulpsexit_0,csi_txulpsexit_0" "0,1" newline bitfld.long 0x00 18. "csi_txulpsesc_0,csi_txulpsesc_0" "0,1" newline bitfld.long 0x00 17. "csi_txrequestesc_0,csi_txrequestesc_0" "0,1" newline bitfld.long 0x00 16. "csi_txlpdtesc_0,csi_txlpdtesc_0" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "csi_txdataesc_0,csi_txdataesc_0" newline bitfld.long 0x00 7. "csi_turnrequest_0,csi_turnrequest_0" "0,1" newline bitfld.long 0x00 6. "csi_turndisable_0,csi_turndisable_0" "0,1" newline bitfld.long 0x00 5. "csi_ipi_halt,csi_ipi_halt" "0,1" newline bitfld.long 0x00 4. "csi_forcetxstopmode_0,csi_forcetxstopmode_0" "0,1" newline bitfld.long 0x00 3. "csi_forcerxmode_1,csi_forcerxmode_1" "0,1" newline bitfld.long 0x00 2. "csi_forcerxmode_0,csi_forcerxmode_0" "0,1" newline bitfld.long 0x00 1. "csi_enableclk,csi_enableclk" "0,1" newline bitfld.long 0x00 0. "csi_basedir_0,csi_basedir_0" "0,1" group.long 0x8C++0x03 line.long 0x00 "tie_reg_3,tie_reg_3" bitfld.long 0x00 9. "dsi_phy_serial_clk_en_in,dsi_phy_serial_clk_en_in" "0,1" newline bitfld.long 0x00 8. "dsi_phy_hstraildone_in,dsi_phy_hstraildone_in" "0,1" newline bitfld.long 0x00 7. "dsi_phy_div_en_in,dsi_phy_div_en_in" "0,1" newline bitfld.long 0x00 6. "dsi_phy_clockungating_in,dsi_phy_clockungating_in" "0,1" newline bitfld.long 0x00 5. "dsi_phy_clock8sent_in,dsi_phy_clock8sent_in" "0,1" newline bitfld.long 0x00 4. "dsi_phy_clk_kill_in,dsi_phy_clk_kill_in" "0,1" newline bitfld.long 0x00 3. "dsi_phy_clk_en_in,dsi_phy_clk_en_in" "0,1" newline bitfld.long 0x00 2. "dsi_dpiupdatecfg,dsi_dpiupdatecfg" "0,1" newline bitfld.long 0x00 1. "dsi_dpishutdn,dsi_dpishutdn" "0,1" newline bitfld.long 0x00 0. "dsi_dpicolorm,dsi_dpicolorm" "0,1" tree.end tree "MICFIL" base ad:0x44520000 group.long 0x00++0x03 line.long 0x00 "CTRL_1,MICFIL Control register 1" bitfld.long 0x00 31. "MDIS,Module Disable" "0: Normal Mode,1: Disable/Low Leakage Mode" bitfld.long 0x00 30. "DOZEN,DOZE enable" "0,1" newline bitfld.long 0x00 29. "PDMIEN,MICFIL Enable" "0: MICFIL stopped,1: MICFIL operation started" bitfld.long 0x00 28. "DBG,Debug Mode" "0: Normal Mode,1: Debug Mode" newline bitfld.long 0x00 27. "SRES,Software-reset bit" "0: no_action,1: Software reset" bitfld.long 0x00 26. "DBGE,Module Enable in Debug" "0: Disabled after completing the current frame,1: enabled" newline bitfld.long 0x00 24.--25. "DISEL,DMA Interrupt Selection" "0: DMA and interrupt requests disabled,1: DMA requests enabled,2: Interrupt requests enabled,?..." bitfld.long 0x00 23. "ERREN,Error Interruption Enable" "0: Error Interrupts disabled,1: Error Interrupts enabled" newline bitfld.long 0x00 20. "DECFILS,Decimation filter enable in stop" "0: Decimation filter is stopped in SoC stop mode,1: Decimation filter is kept running in SoC stop.." bitfld.long 0x00 16. "FSYNCEN,Frame Synchronization Enable" "0: Frame synchronization disable,1: Frame synchronization enable" newline bitfld.long 0x00 7. "CH7EN,Channel 7 Enable" "0,1" bitfld.long 0x00 6. "CH6EN,Channel 6 Enable" "0,1" newline bitfld.long 0x00 5. "CH5EN,Channel 5 Enable" "0,1" bitfld.long 0x00 4. "CH4EN,Channel 4 Enable" "0,1" newline bitfld.long 0x00 3. "CH3EN,Channel 3 Enable" "0,1" bitfld.long 0x00 2. "CH2EN,Channel 2 Enable" "0,1" newline bitfld.long 0x00 1. "CH1EN,Channel 1 Enable" "0,1" bitfld.long 0x00 0. "CH0EN,Channel 0 Enable" "0,1" group.long 0x04++0x03 line.long 0x00 "CTRL_2,MICFIL Control register 2" bitfld.long 0x00 25.--27. "QSEL,Quality Mode" "0: Medium quality mode,1: High quality mode,?,?,4: Very low quality 2 mode,5: Very low quality 1 mode,6: Very low quality 0 mode,7: Low quality mode" bitfld.long 0x00 16.--19. "CICOSR,CIC Decimation Rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. "CLKDIV,Clock Divider" group.long 0x08++0x03 line.long 0x00 "STAT,MICFIL Status register" rbitfld.long 0x00 31. "BSY_FIL,Busy Flag" "0: MICFIL is stopped,1: MICFIL is running" rbitfld.long 0x00 30. "FIR_RDY,Filter Data Ready" "0: Filter data is not reliable,1: Filter data is reliable" newline eventfld.long 0x00 29. "LOWFREQF,Low Frequency Flag" "0: CLKDIV value is OK,1: CLKDIV value is too low" eventfld.long 0x00 7. "CH7F,Channel 7 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." newline eventfld.long 0x00 6. "CH6F,Channel 6 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." eventfld.long 0x00 5. "CH5F,Channel 5 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." newline eventfld.long 0x00 4. "CH4F,Channel 4 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." eventfld.long 0x00 3. "CH3F,Channel 3 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." newline eventfld.long 0x00 2. "CH2F,Channel 2 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." eventfld.long 0x00 1. "CH1F,Channel 1 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." newline eventfld.long 0x00 0. "CH0F,Channel 0 Output Data Flag" "0: Channel's FIFO did not reach the number of..,1: Channel's FIFO reached the number of elements.." group.long 0x10++0x03 line.long 0x00 "FIFO_CTRL,MICFIL FIFO Control register" bitfld.long 0x00 0.--4. "FIFOWMK,FIFO Watermark Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x14++0x03 line.long 0x00 "FIFO_STAT,MICFIL FIFO Status register" eventfld.long 0x00 15. "FIFOUND7,FIFO Underflow Exception flag for Channel 7" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" eventfld.long 0x00 14. "FIFOUND6,FIFO Underflow Exception flag for Channel 6" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" newline eventfld.long 0x00 13. "FIFOUND5,FIFO Underflow Exception flag for Channel 5" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" eventfld.long 0x00 12. "FIFOUND4,FIFO Underflow Exception flag for Channel 4" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" newline eventfld.long 0x00 11. "FIFOUND3,FIFO Underflow Exception flag for Channel 3" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" eventfld.long 0x00 10. "FIFOUND2,FIFO Underflow Exception flag for Channel 2" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" newline eventfld.long 0x00 9. "FIFOUND1,FIFO Underflow Exception flag for Channel 1" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" eventfld.long 0x00 8. "FIFOUND0,FIFO Underflow Exception flag for Channel 0" "0: No exception by FIFO Underflow,1: Exception by FIFO underflow" newline eventfld.long 0x00 7. "FIFOOVF7,FIFO Overflow Exception flag for Channel 7" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" eventfld.long 0x00 6. "FIFOOVF6,FIFO Overflow Exception flag for Channel 6" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" newline eventfld.long 0x00 5. "FIFOOVF5,FIFO Overflow Exception flag for Channel 5" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" eventfld.long 0x00 4. "FIFOOVF4,FIFO Overflow Exception flag for Channel 4" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" newline eventfld.long 0x00 3. "FIFOOVF3,FIFO Overflow Exception flag for Channel 3" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" eventfld.long 0x00 2. "FIFOOVF2,FIFO Overflow Exception flag for Channel 2" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" newline eventfld.long 0x00 1. "FIFOOVF1,FIFO Overflow Exception flag for Channel 1" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" eventfld.long 0x00 0. "FIFOOVF0,FIFO Overflow Exception flag for Channel 0" "0: No exception by FIFO overflow,1: Exception by FIFO overflow" repeat 8. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0x24)++0x03 line.long 0x00 "DATACH[$1],MICFIL Output Result Register $1" hexmask.long 0x00 0.--31. 1. "DATA,Channel n Data" repeat.end group.long 0x64++0x03 line.long 0x00 "DC_CTRL,MICFIL DC Remover Control register" bitfld.long 0x00 14.--15. "DCCONFIG7,Channel 7 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" bitfld.long 0x00 12.--13. "DCCONFIG6,Channel 6 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" newline bitfld.long 0x00 10.--11. "DCCONFIG5,Channel 5 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" bitfld.long 0x00 8.--9. "DCCONFIG4,Channel 4 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" newline bitfld.long 0x00 6.--7. "DCCONFIG3,Channel 3 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" bitfld.long 0x00 4.--5. "DCCONFIG2,Channel 2 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" newline bitfld.long 0x00 2.--3. "DCCONFIG1,Channel 1 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" bitfld.long 0x00 0.--1. "DCCONFIG0,Channel 0 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (PDM_CLK=3.072MHz),1: DC Remover cut-off at 13.3Hz (PDM_CLK=3.072MHz),2: DC Remover cut-off at 40Hz (PDM_CLK=3.072MHz),3: DC Remover is bypassed" group.long 0x68++0x03 line.long 0x00 "DC_OUT_CTRL,MICFIL Output DC Remover Control register" bitfld.long 0x00 14.--15. "DCCONFIG7,Channel 7 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" bitfld.long 0x00 12.--13. "DCCONFIG6,Channel 6 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" newline bitfld.long 0x00 10.--11. "DCCONFIG5,Channel 5 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" bitfld.long 0x00 8.--9. "DCCONFIG4,Channel 4 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" newline bitfld.long 0x00 6.--7. "DCCONFIG3,Channel 3 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" bitfld.long 0x00 4.--5. "DCCONFIG2,Channel 2 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" newline bitfld.long 0x00 2.--3. "DCCONFIG1,Channel 1 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" bitfld.long 0x00 0.--1. "DCCONFIG0,Channel 0 DC Remover Configuration" "0: DC Remover cut-off at 20Hz (FS=48kHz),1: DC Remover cut-off at 13.3Hz (FS=48kHz),2: DC Remover cut-off at 40Hz (FS=48kHz),3: DC Remover is bypassed" group.long 0x74++0x03 line.long 0x00 "RANGE_CTRL,MICFIL Range Control register" bitfld.long 0x00 28.--31. "RANGEADJ7,Channel 7 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "RANGEADJ6,Channel 6 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "RANGEADJ5,Channel 5 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "RANGEADJ4,Channel 4 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "RANGEADJ3,Channel 3 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "RANGEADJ2,Channel 2 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RANGEADJ1,Channel 1 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "RANGEADJ0,Channel 0 Range Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x7C++0x03 line.long 0x00 "RANGE_STAT,MICFIL Range Status register" eventfld.long 0x00 23. "RANGEUNF7,Channel 7 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" eventfld.long 0x00 22. "RANGEUNF6,Channel 6 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" newline eventfld.long 0x00 21. "RANGEUNF5,Channel 5 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" eventfld.long 0x00 20. "RANGEUNF4,Channel 4 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" newline eventfld.long 0x00 19. "RANGEUNF3,Channel 3 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" eventfld.long 0x00 18. "RANGEUNF2,Channel 2 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" newline eventfld.long 0x00 17. "RANGEUNF1,Channel 1 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" eventfld.long 0x00 16. "RANGEUNF0,Channel 0 Range Underflow Error Flag" "0: No exception by range underflow,1: Exception by range underflow" newline eventfld.long 0x00 7. "RANGEOVF7,Channel 7 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" eventfld.long 0x00 6. "RANGEOVF6,Channel 6 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" newline eventfld.long 0x00 5. "RANGEOVF5,Channel 5 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" eventfld.long 0x00 4. "RANGEOVF4,Channel 4 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" newline eventfld.long 0x00 3. "RANGEOVF3,Channel 3 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" eventfld.long 0x00 2. "RANGEOVF2,Channel 2 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" newline eventfld.long 0x00 1. "RANGEOVF1,Channel 1 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" eventfld.long 0x00 0. "RANGEOVF0,Channel 0 Range Overflow Error Flag" "0: No exception by range overflow,1: Exception by range overflow" group.long 0x80++0x03 line.long 0x00 "FSYNC_CTRL,Frame Synchronization Control register" hexmask.long 0x00 0.--31. 1. "FSYNCLEN,Frame Synchronization Window Length" rgroup.long 0x84++0x03 line.long 0x00 "VERID,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x88++0x03 line.long 0x00 "PARAM,Parameter Register" bitfld.long 0x00 24.--27. "NUM_HWVAD,Number of HWVADs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 19. "HWVAD_ZCD,HWVAD zero-cross detector active" "0,1" newline bitfld.long 0x00 17. "HWVAD_ENERGY_MODE,HWVAD energy mode active" "0,1" bitfld.long 0x00 16. "HWVAD,HWVAD active" "0,1" newline bitfld.long 0x00 11. "DC_OUT_BYPASS,Output DC remover bypass" "0: DC remover active,1: DC remover disabled" bitfld.long 0x00 10. "DC_BYPASS,Input DC remover bypass" "0: DC remover active,1: DC remover disabled" newline bitfld.long 0x00 9. "LOW_POWER,Low power decimation filter" "0,1" bitfld.long 0x00 8. "FIL_OUT_WIDTH_24B,Filter Output Width" "0: Filter output width is 16 bits,1: Filter output width is 24 bits" newline bitfld.long 0x00 4.--7. "FIFO_PTRWID,FIFO Pointer Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "NPAIR,Number of microphone pairs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x90++0x03 line.long 0x00 "VAD0_CTRL_1,Voice Activity Detector 0 Control register" bitfld.long 0x00 24.--26. "VADCHSEL,Voice Activity Detector Channel Selector" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. "VADCICOSR,Voice Activity Detector CIC Oversampling Rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--12. "VADINITT,Voice Activity Detector Initialization Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. "VADST10,Voice Activity Detector Internal Filters Initialization" "0: Normal operation,1: Filters are initialized" newline bitfld.long 0x00 3. "VADERIE,Voice Activity Detector Error Interruption Enable" "0: HWVAD Error Interrupts disabled,1: HWVAD Error Interrupts enabled" bitfld.long 0x00 2. "VADIE,Voice Activity Detector Interruption Enable" "0: HWVAD Interrupts disabled,1: HWVAD Interrupts enabled" newline bitfld.long 0x00 1. "VADRST,Voice Activity Detector Reset" "0,1" bitfld.long 0x00 0. "VADEN,Voice Activity Detector Enable" "0: The HWVAD is disabled,1: The HWVAD is enabled" group.long 0x94++0x03 line.long 0x00 "VAD0_CTRL_2,Voice Activity Detector 0 Control register" bitfld.long 0x00 31. "VADFRENDIS,Voice Activity Detector Frame Energy Disable" "0: Frame energy calculus enabled,1: Frame energy calculus disabled" bitfld.long 0x00 30. "VADPREFEN,Voice Activity Detector Pre Filter Enable" "0: Pre-filter is bypassed,1: Pre-filter is enabled" newline bitfld.long 0x00 28. "VADFOUTDIS,Voice Activity Detector Force Output Disable" "0: Output is enabled,1: Output is disabled" bitfld.long 0x00 16.--21. "VADFRAMET,Voice Activity Detector Frame Time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--11. "VADINPGAIN,Voice Activity Detector Input Gain" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--1. "VADHPF,Voice Activity Detector High-Pass Filter" "0: Filter bypassed,1: Cut-off frequency at 1750Hz,2: Cut-off frequency at 215Hz,3: Cut-off frequency at 102Hz" group.long 0x98++0x03 line.long 0x00 "VAD0_STAT,Voice Activity Detector 0 Status register" rbitfld.long 0x00 31. "VADINITF,Voice Activity Detector Initialization Flag" "0: HWVAD is not being initialized,1: HWVAD is being initialized" eventfld.long 0x00 16. "VADINSATF,Voice Activity Detector Input Saturation Flag" "0: no_exception,1: exception" newline rbitfld.long 0x00 15. "VADEF,Voice Activity Detector Event Flag" "0: Voice activity not detected,1: Voice activity detected" eventfld.long 0x00 0. "VADIF,Voice Activity Detector Interrupt Flag" "0: Voice activity not detected,1: Voice activity detected" group.long 0x9C++0x03 line.long 0x00 "VAD0_SCONFIG,Voice Activity Detector 0 Signal Configuration" bitfld.long 0x00 31. "VADSFILEN,Voice Activity Detector Signal Filter Enable" "0: Signal filter is disabled,1: Signal filter is enabled" bitfld.long 0x00 30. "VADSMAXEN,Voice Activity Detector Signal Maximum Enable" "0: Maximum block is bypassed,1: Maximum block is enabled" newline bitfld.long 0x00 0.--3. "VADSGAIN,Voice Activity Detector Signal Gain" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA0++0x03 line.long 0x00 "VAD0_NCONFIG,Voice Activity Detector 0 Noise Configuration" bitfld.long 0x00 31. "VADNFILAUTO,Voice Activity Detector Noise Filter Auto" "0: Noise filter is always enabled,1: Noise filter is enabled/disabled based on.." bitfld.long 0x00 30. "VADNMINEN,Voice Activity Detector Noise Minimum Enable" "0: Minimum block is bypassed,1: Minimum block is enabled" newline bitfld.long 0x00 29. "VADNDECEN,Voice Activity Detector Noise Decimation Enable" "0: Noise input is not decimated,1: Noise input is decimated" bitfld.long 0x00 28. "VADNOREN,Voice Activity Detector Noise OR Enable" "0: Noise input is not decimated,1: Noise input is decimated" newline bitfld.long 0x00 8.--12. "VADNFILADJ,Voice Activity Detector Noise Filter Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--3. "VADNGAIN,Voice Activity Detector Noise Gain" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xA4++0x03 line.long 0x00 "VAD0_NDATA,Voice Activity Detector 0 Noise Data" hexmask.long.word 0x00 0.--15. 1. "VADNDATA,Voice Activity Detector Noise Data" group.long 0xA8++0x03 line.long 0x00 "VAD0_ZCD,Voice Activity Detector 0 Zero-Crossing Detector" hexmask.long.word 0x00 16.--25. 1. "VADZCDTH,Zero-Crossing Detector Threshold" bitfld.long 0x00 8.--11. "VADZCDADJ,Zero-Crossing Detector Adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "VADZCDAND,Zero-Crossing Detector AND Behavior" "0: The ZCD result is OR'ed with the energy-based..,1: The ZCD result is AND'ed with the.." bitfld.long 0x00 2. "VADZCDAUTO,Zero-Crossing Detector Automatic Threshold" "0: The ZCD threshold is not estimated..,1: The ZCD threshold is estimated automatically" newline bitfld.long 0x00 0. "VADZCDEN,Zero-Crossing Detector Enable" "0: The ZCD is disabled,1: The ZCD is enabled" tree.end tree "MIPI_CSI (MIPI CSI Host Controller)" base ad:0x4AE00000 rgroup.long 0x00++0x03 line.long 0x00 "VERSION,Core version" hexmask.long 0x00 0.--31. 1. "version,This field indicates the version of the mipi_csi2_host" group.long 0x04++0x03 line.long 0x00 "N_LANES,Number of lanes" hexmask.long 0x00 3.--31. 1. "reserved_31_3,Reserved and read as zero" newline bitfld.long 0x00 0.--2. "n_lanes,This can only be updated when the PHY lane is in stopstate" "0: 1 Data Lane,1: 2 Data Lanes,2: 3 Data Lanes,3: 4 Data Lanes - Only on D-PHY,4: 5 Data Lanes - Only on D-PHY,5: 6 Data Lanes - Only on D-PHY,6: 7 Data Lanes - Only on D-PHY,7: 8 Data Lanes - Only on D-PHY" group.long 0x08++0x03 line.long 0x00 "CSI2_RESETN,Logic Reset" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "csi2_resetn,mipi_csi2_host reset output" "0,1" rgroup.long 0x0C++0x03 line.long 0x00 "INT_ST_MAIN,Main interrupt status" bitfld.long 0x00 26.--31. "reserved_31_26,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "status_int_ipi_fatal,Status of int_st_ipi_fatal" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "status_int_phy,Status of int_st_phy" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline bitfld.long 0x00 7. "status_int_ecc_corrected,Status of status_int_ecc_corrected" "0,1" newline bitfld.long 0x00 6. "status_int_data_id,Status of status_int_data_id" "0,1" newline bitfld.long 0x00 5. "status_int_pld_crc_fatal,Status of status_int_pld_crc_fatal" "0,1" newline bitfld.long 0x00 4. "status_int_crc_frame_fatal,Status of status_int_crc_frame_fatal" "0,1" newline bitfld.long 0x00 3. "status_int_seq_frame_fatal,Status of status_int_seq_frame_fatal" "0,1" newline bitfld.long 0x00 2. "status_int_bndry_frame_fatal,Status of int_st_bndry_frame_fatal" "0,1" newline bitfld.long 0x00 1. "status_int_pkt_fatal,Status of int_st_pkt_fatal" "0,1" newline bitfld.long 0x00 0. "status_int_phy_fatal,Status of int_st_phy_fatal" "0,1" group.long 0x40++0x03 line.long 0x00 "PHY_SHUTDOWNZ,PHY Shutdown" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "phy_shutdownz,Shutdown input" "0,1" group.long 0x44++0x03 line.long 0x00 "DPHY_RSTZ,DPHY reset" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "dphy_rstz,PHY reset output" "0,1" rgroup.long 0x48++0x03 line.long 0x00 "PHY_RX,RX PHY status" hexmask.long.word 0x00 18.--31. 1. "reserved_31_18,Reserved and read as zero" newline bitfld.long 0x00 17. "phy_rxclkactivehs,Indicates that D-PHY clock lane is actively receiving a DDR clock" "0,1" newline bitfld.long 0x00 16. "phy_rxulpsclknot,Active Low" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline bitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline bitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline bitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "phy_rxulpsesc_1,Lane module 1 has entered the Ultra Low Power mode" "0,1" newline bitfld.long 0x00 0. "phy_rxulpsesc_0,Lane module 0 has entered the Ultra Low Power mode" "0,1" rgroup.long 0x4C++0x03 line.long 0x00 "PHY_STOPSTATE,STOPSTATE PHY status" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "phy_stopstateclk,D-PHY Clock lane in Stop state" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline bitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline bitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline bitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "phy_stopstatedata_1,Data lane 1 in Stop state" "0,1" newline bitfld.long 0x00 0. "phy_stopstatedata_0,Data lane 0 in Stop state" "0,1" group.long 0x50++0x03 line.long 0x00 "PHY_TEST_CTRL0,Synopsys D-PHY Test and Control interface 1" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "phy_testclk,Clock to capture testdin bus contents into the macro with testen signal controlling the operation selection" "0,1" newline bitfld.long 0x00 0. "phy_testclr,When active performs vendor specific interface initialization" "0,1" group.long 0x54++0x03 line.long 0x00 "PHY_TEST_CTRL1,Synopsys D-PHY Test and Control interface 2" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "phy_testen,When asserted high it configures an address write operation on the falling edge of testclk" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "phy_testdout,Vendor-specific 8-bit data output for reading data and other probing functionalities" newline hexmask.long.byte 0x00 0.--7. 1. "phy_testdin,Test interface 8-bit data input for programming internal registers and accessing test functionalities" group.long 0x60++0x03 line.long 0x00 "PPI_PG_PATTERN_VRES,Patern Generator vertical resolution" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "ppi_pg_pattern_vres,This register configures the PPI Patern Generator's pattern vertical resolution" group.long 0x64++0x03 line.long 0x00 "PPI_PG_PATTERN_HRES,Patern Generator horizontal resolution" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "ppi_pg_pattern_hres,This register configures the PPI Patern Generator's pattern horizontal resolution" group.long 0x68++0x03 line.long 0x00 "PPI_PG_CONFIG,Patern Generator" hexmask.long.word 0x00 19.--31. 1. "reserved_31_19,Reserved and read as zero" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16.--17. "ppi_pg_vcx_0_1,This register configures the PPI Patern Generator's virtual channel extension" "0,1,2,3" newline bitfld.long 0x00 14.--15. "ppi_pg_vc,This register configures the PPI Patern Generator's virtual channel" "0,1,2,3" newline bitfld.long 0x00 8.--13. "ppi_pg_datatype,This register configures the PPI Patern Generator's data type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ppi_pg_pattern,This register configures the PPI Patern Generator's pattern" "0: Vertical Pattern,1: Horizontal Pattern" group.long 0x6C++0x03 line.long 0x00 "PPI_PG_ENABLE,Patern Generator enable" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ppi_pg_enable,This register enables PPI Patern Generator" "0,1" rgroup.long 0x70++0x03 line.long 0x00 "PPI_PG_STATUS,Patern Generator status" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ppi_pg_status,PPI Pattern Generator status" "0: PPIPG is inactive,1: PPI PG is running" group.long 0x80++0x03 line.long 0x00 "IPI_MODE,IPI Mode" hexmask.long.byte 0x00 25.--31. 1. "reserved_31_25,Reserved and read as zero" newline bitfld.long 0x00 24. "ipi_enable,This register enables the interface" "0,1" newline hexmask.long.byte 0x00 17.--23. 1. "reserved_23_17,Reserved and read as zero" newline bitfld.long 0x00 16. "ipi_cut_through,This field indicates cut-through mode state" "0: Cut-through mode inactive,1: Cut-through mode active" newline hexmask.long.byte 0x00 9.--15. 1. "reserved_15_9,Reserved and read as zero" newline bitfld.long 0x00 8. "ipi_color_com,This field indicates if color mode components are delivered as follows" "0: 48 bits interface,1: 16 bits interface" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ipi_mode,This field indicates the video mode transmission type as follows" "0: Camera timing,1: Controller timing" group.long 0x84++0x03 line.long 0x00 "IPI_VCID,IPI Virtual Channel" hexmask.long 0x00 5.--31. 1. "reserved_31_5,Reserved and read as zero" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 2.--3. "ipi_vcx_0_1,Virtual channel extension of data to be processed by pixel interface" "0,1,2,3" newline bitfld.long 0x00 0.--1. "ip_vcid,Virtual channel of data to be processed by pixel interface" "0,1,2,3" group.long 0x88++0x03 line.long 0x00 "IPI_DATA_TYPE,IPI Data Type" hexmask.long.tbyte 0x00 9.--31. 1. "reserved_31_9,Reserved and read as zero" newline bitfld.long 0x00 8. "embedded_data,This bit enables embedded data processing on IPI interface" "0,1" newline rbitfld.long 0x00 6.--7. "reserved_7_6,Reserved and read as zero" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ipi_data_type,Data type of data to be processed by pixel interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x8C++0x03 line.long 0x00 "IPI_MEM_FLUSH,IPI Flush Memory" hexmask.long.tbyte 0x00 9.--31. 1. "reserved_31_9,Reserved and read as zero" newline bitfld.long 0x00 8. "ipi_auto_flush,Memory is automatically flushed at each Frame Start" "0,1" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ipi_flush,Flush IPI memory" "0,1" group.long 0x90++0x03 line.long 0x00 "IPI_HSA_TIME,IPI HSA" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "ipi_hsa_time,This field configures the Horizontal Synchronism Active period in pixclk cycles" group.long 0x94++0x03 line.long 0x00 "IPI_HBP_TIME,IPI_HBP" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "ipi_hbp_time,This field configures the Horizontal Back Porch period in pixclk cycles" group.long 0x98++0x03 line.long 0x00 "IPI_HSD_TIME,IPI_HSD" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "ipi_hsd_time,This field configures the Horizontal Sync Porch delay period in pixclk cycles" group.long 0x9C++0x03 line.long 0x00 "IPI_HLINE_TIME,IPI_HLINE" hexmask.long.tbyte 0x00 15.--31. 1. "reserved_31_15,Reserved and read as zero" newline hexmask.long.word 0x00 0.--14. 1. "ipi_hline_time,This field configures the size of the line time counted in pixclk cycles" group.long 0xA0++0x03 line.long 0x00 "IPI_SOFTRSTN,IPI Soft Reset" rbitfld.long 0x00 29.--31. "reserved_31_29,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25.--27. "reserved_27_25,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21.--23. "reserved_23_21,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17.--19. "reserved_19_17,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 13.--15. "reserved_15_13,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 12. "reserved_12,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 9.--11. "reserved_11_9,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 8. "reserved_8,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 5.--7. "reserved_7_5,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 1.--3. "reserved_3_1,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "ipi_softrstn,This field resets IPI one" "0,1" group.long 0xAC++0x03 line.long 0x00 "IPI_ADV_FEATURES,IPI Advanced Features" hexmask.long.byte 0x00 25.--31. 1. "reserved_31_25,Reserved and read as zero" newline bitfld.long 0x00 24. "ipi_sync_event_mode,For Camera Mode" "0: Frame Start do not trigger any sync event,1: Legacy mode" newline rbitfld.long 0x00 22.--23. "reserved_23_22,Reserved and read as zero" "0,1,2,3" newline bitfld.long 0x00 21. "en_embedded,This register allows the use of embedded packets for IPI synchronization events" "0,1" newline bitfld.long 0x00 20. "en_blanking,This register allows the use of blanking packets for IPI synchronization events" "0,1" newline bitfld.long 0x00 19. "en_null,This register allows the use of null packets for IPI synchronization events" "0,1" newline bitfld.long 0x00 18. "en_line_start,This register allows the use of line start packets for IPI synchronization events" "0,1" newline bitfld.long 0x00 17. "en_video,This register allows the use of video packets for IPI synchronization events" "0,1" newline bitfld.long 0x00 16. "line_event_selection,For Camera Mode this register allows manual selection of the Packet for line delimiter as follows" "0: Controller selects it automatically,1: Select packets from list programmed in [17:21]" newline rbitfld.long 0x00 14.--15. "reserved_15_14,Reserved and read as zero" "0,1,2,3" newline bitfld.long 0x00 8.--13. "ipi_dt,Datatype to over" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "ipi_dt_overwrite,Ignore datatype of the header using the programmed datatype for decoding" "0,1" group.long 0xB0++0x03 line.long 0x00 "IPI_VSA_LINES,IPI VSA" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "ipi_vsa_lines,This field configures the Vertical Synchronism Active period measured in number of horizontal lines" group.long 0xB4++0x03 line.long 0x00 "IPI_VBP_LINES,IPI VBP" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "ipi_vbp_lines,This field configures the Vertical Back Porch period measured in number of horizontal lines" group.long 0xB8++0x03 line.long 0x00 "IPI_VFP_LINES,IPI_VFP" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "ipi_vfp_lines,This field configures the Vertical Front Porch period measured in number of horizontal lines" group.long 0xBC++0x03 line.long 0x00 "IPI_VACTIVE_LINES,IPI VACTIVE" hexmask.long.tbyte 0x00 14.--31. 1. "reserved_31_14,Reserved and read as zero" newline hexmask.long.word 0x00 0.--13. 1. "ipi_vactive_lines,This field configures the Vertical Active period measured in number of horizontal lines" group.long 0xC8++0x03 line.long 0x00 "VC_EXTENSION,Virtual Channel Extesnsion" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "vcx,This field indicates status of Virtual Channel Extension" "0,1" rgroup.long 0xCC++0x03 line.long 0x00 "PHY_CAL,PHY Calibration" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "rxskewcalhs,A low-to-high transition on rxskewcalhs signal means that the PHY has initiated the de-skew calibration" "0,1" rgroup.long 0xE0++0x03 line.long 0x00 "INT_ST_PHY_FATAL,Fatal interruption caused by PHY" hexmask.long.word 0x00 19.--31. 1. "reserved_31_19,Reserved and read as zero" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline hexmask.long.byte 0x00 9.--15. 1. "reserved_15_9,Reserved and read as zero" newline bitfld.long 0x00 8. "reserved_8,Reserved and read as zero" "0,1" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline bitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline bitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline bitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "phy_errsotsynchs_1,Start of transmission error on data lane 1 (no synchronization achieved)" "0,1" newline bitfld.long 0x00 0. "phy_errsotsynchs_0,Start of transmission error on data lane 0 (no synchronization achieved)" "0,1" group.long 0xE4++0x03 line.long 0x00 "INT_MSK_PHY_FATAL,Mask for fatal interruption caused by PHY" hexmask.long.word 0x00 19.--31. 1. "reserved_31_19,Reserved and read as zero" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline hexmask.long.byte 0x00 9.--15. 1. "reserved_15_9,Reserved and read as zero" newline rbitfld.long 0x00 8. "reserved_8,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "mask_phy_errsotsynchs_1,Mask for phy_errsotsynchs_1" "0,1" newline bitfld.long 0x00 0. "mask_phy_errsotsynchs_0,Mask for phy_errsotsynchs_0" "0,1" group.long 0xE8++0x03 line.long 0x00 "INT_FORCE_PHY_FATAL,Force for fatal interruption caused by PHY" hexmask.long.word 0x00 19.--31. 1. "reserved_31_19,Reserved and read as zero" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline hexmask.long.byte 0x00 9.--15. 1. "reserved_15_9,Reserved and read as zero" newline rbitfld.long 0x00 8. "reserved_8,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "force_phy_errsotsynchs_1,Force phy_errsotsynchs_1" "0,1" newline bitfld.long 0x00 0. "force_phy_errsotsynchs_0,Force phy_errsotsynchs_0" "0,1" rgroup.long 0xF0++0x03 line.long 0x00 "INT_ST_PKT_FATAL,Fatal interruption caused during Packet Construction" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "shorter_payload,D-PHY mode: Reported greater WC than received unrecoverable" "0,1" newline bitfld.long 0x00 0. "err_ecc_double,D-PHY mode: Header ECC contains at least 2 errors unrecoverable" "0,1" group.long 0xF4++0x03 line.long 0x00 "INT_MSK_PKT_FATAL,Mask for fatal interruption caused during Packet Construction" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "mask_shorter_payload,Mask for shorter_payload" "0,1" newline bitfld.long 0x00 0. "mask_err_ecc_double,Mask for err_ecc_double" "0,1" group.long 0xF8++0x03 line.long 0x00 "INT_FORCE_PKT_FATAL,Force for fatal interruption caused during Packet Construction" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "force_shorter_payload,Force shorter_payload" "0,1" newline bitfld.long 0x00 0. "force_err_ecc_double,Force err_ecc_double" "0,1" rgroup.long 0x110++0x03 line.long 0x00 "INT_ST_PHY,Interruption caused by PHY" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "phy_erresc_1,Escape Entry Error on data lane 1" "0,1" newline bitfld.long 0x00 16. "phy_erresc_0,Escape Entry Error on data lane 0" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline bitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline bitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline bitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "phy_errsoths_1,Start of transmission error on data lane 1 (synchronization can still be achieved)" "0,1" newline bitfld.long 0x00 0. "phy_errsoths_0,Start of transmission error on data lane 0 (synchronization can still be achieved)" "0,1" group.long 0x114++0x03 line.long 0x00 "INT_MSK_PHY,Mask for interruption caused by PHY" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "mask_phy_erresc_1,Mask for phy_erresc_1" "0,1" newline bitfld.long 0x00 16. "mask_phy_erresc_0,Mask for phy_erresc_0" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline rbitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "mask_phy_errsoths_1,Mask for phy_errsoths_1" "0,1" newline bitfld.long 0x00 0. "mask_phy_errsoths_0,Mask for phy_errsoths_0" "0,1" group.long 0x118++0x03 line.long 0x00 "INT_FORCE_PHY,Force for interruption caused by PHY" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "force_phy_erresc_1,Force phy_erresc_1" "0,1" newline bitfld.long 0x00 16. "force_phy_erresc_0,Force phy_erresc_0" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline rbitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 3. "reserved_3,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 2. "reserved_2,Reserved and read as zero" "0,1" newline bitfld.long 0x00 1. "force_phy_errsoths_1,Force phy_errsoths_1" "0,1" newline bitfld.long 0x00 0. "force_phy_errsoths_0,Force phy_errsoths_0" "0,1" rgroup.long 0x140++0x03 line.long 0x00 "INT_ST_IPI_FATAL,Fatal Interruption caused by IPI interface" hexmask.long 0x00 7.--31. 1. "reserved_31_7,Reserved and read as zero" newline bitfld.long 0x00 6. "int_pulse_delay_overflow,Reporting internal fifo overflow on pulse delay block" "0,1" newline bitfld.long 0x00 5. "int_event_fifo_overflow,Reporting internal fifo overflow" "0,1" newline bitfld.long 0x00 4. "pixel_if_hline_err,Horizontal line time error (only available in controller mode)" "0,1" newline bitfld.long 0x00 3. "pixel_if_fifo_nempty_fs,Controller timing mode: The FIFO of pixel interface is not empty at the start of a new frame" "0,1" newline bitfld.long 0x00 2. "pixel_if_frame_sync_err,Whenever in Controller mode notifies if a new frame is received but previous has not been completed" "0,1" newline bitfld.long 0x00 1. "pixel_if_fifo_overflow,The FIFO of pixel interface has lost information because some data arrived and FIFO is already full" "0,1" newline bitfld.long 0x00 0. "pixel_if_fifo_underflow,The FIFO has become empty before the expected number of pixels (calculated from the packet header) could be extracted to the pixel interface" "0,1" group.long 0x144++0x03 line.long 0x00 "INT_MSK_IPI_FATAL,Mask for fatal interruption caused by IPI interface" hexmask.long 0x00 7.--31. 1. "reserved_31_7,Reserved and read as zero" newline bitfld.long 0x00 6. "msk_int_pulse_delay_overflow,Mask int_pulse_delay_overflow" "0,1" newline bitfld.long 0x00 5. "msk_int_event_fifo_overflow,Mask int_event_fifo_overflow" "0,1" newline bitfld.long 0x00 4. "msk_pixel_if_hline_err,Mask pixel_if_hline_err" "0,1" newline bitfld.long 0x00 3. "msk_pixel_if_fifo_nempty_fs,Mask pixel_if_fifo_nempty_fs" "0,1" newline bitfld.long 0x00 2. "msk_frame_sync_err,Mask for pixel_if_frame_sync_err" "0,1" newline bitfld.long 0x00 1. "msk_pixel_if_fifo_overflow,Mask for pixel_if_fifo_overflow" "0,1" newline bitfld.long 0x00 0. "msk_pixel_if_fifo_underflow,Mask for pixel_if_fifo_underflow" "0,1" group.long 0x148++0x03 line.long 0x00 "INT_FORCE_IPI_FATAL,Force for fatal interruption caused by IPI interface" hexmask.long 0x00 7.--31. 1. "reserved_31_7,Reserved and read as zero" newline bitfld.long 0x00 6. "force_int_pulse_delay_overflow,Force int_pulse_delay_overflow" "0,1" newline bitfld.long 0x00 5. "force_int_event_fifo_overflow,Force int_event_fifo_overflow" "0,1" newline bitfld.long 0x00 4. "force_pixel_if_hline_err,Force pixel_if_hline_err" "0,1" newline bitfld.long 0x00 3. "force_pixel_if_fifo_nempty_fs,Force pixel_if_fifo_nempty_fs" "0,1" newline bitfld.long 0x00 2. "force_frame_sync_err,Force for frame_sync_err" "0,1" newline bitfld.long 0x00 1. "force_pixel_if_fifo_overflow,Force for pixel_if_fifo_overflow" "0,1" newline bitfld.long 0x00 0. "force_pixel_if_fifo_underflow,Force for pixel_if_fifo_underflow" "0,1" rgroup.long 0x280++0x03 line.long 0x00 "INT_ST_BNDRY_FRAME_FATAL,Fatal Interruption caused by Frame Boundaries" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_bndry_match_vc15,Error matching Frame Start with Frame End for virtual channel 15" "0,1" newline bitfld.long 0x00 14. "err_f_bndry_match_vc14,Error matching Frame Start with Frame End for virtual channel 14" "0,1" newline bitfld.long 0x00 13. "err_f_bndry_match_vc13,Error matching Frame Start with Frame End for virtual channel 13" "0,1" newline bitfld.long 0x00 12. "err_f_bndry_match_vc12,Error matching Frame Start with Frame End for virtual channel 12" "0,1" newline bitfld.long 0x00 11. "err_f_bndry_match_vc11,Error matching Frame Start with Frame End for virtual channel 11" "0,1" newline bitfld.long 0x00 10. "err_f_bndry_match_vc10,Error matching Frame Start with Frame End for virtual channel 10" "0,1" newline bitfld.long 0x00 9. "err_f_bndry_match_vc9,Error matching Frame Start with Frame End for virtual channel 9" "0,1" newline bitfld.long 0x00 8. "err_f_bndry_match_vc8,Error matching Frame Start with Frame End for virtual channel 8" "0,1" newline bitfld.long 0x00 7. "err_f_bndry_match_vc7,Error matching Frame Start with Frame End for virtual channel 7" "0,1" newline bitfld.long 0x00 6. "err_f_bndry_match_vc6,Error matching Frame Start with Frame End for virtual channel 6" "0,1" newline bitfld.long 0x00 5. "err_f_bndry_match_vc5,Error matching Frame Start with Frame End for virtual channel 5" "0,1" newline bitfld.long 0x00 4. "err_f_bndry_match_vc4,Error matching Frame Start with Frame End for virtual channel 4" "0,1" newline bitfld.long 0x00 3. "err_f_bndry_match_vc3,Error matching Frame Start with Frame End for virtual channel 3" "0,1" newline bitfld.long 0x00 2. "err_f_bndry_match_vc2,Error matching Frame Start with Frame End for virtual channel 2" "0,1" newline bitfld.long 0x00 1. "err_f_bndry_match_vc1,Error matching Frame Start with Frame End for virtual channel 1" "0,1" newline bitfld.long 0x00 0. "err_f_bndry_match_vc0,Error matching Frame Start with Frame End for virtual channel 0" "0,1" group.long 0x284++0x03 line.long 0x00 "INT_MSK_BNDRY_FRAME_FATAL,Mask for fatal interruption caused by Frame Boundaries" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_bndry_match_vc15,Mask for err_f_bndry_match_vc15" "0,1" newline bitfld.long 0x00 14. "err_f_bndry_match_vc14,Mask for err_f_bndry_match_vc14" "0,1" newline bitfld.long 0x00 13. "err_f_bndry_match_vc13,Mask for err_f_bndry_match_vc13" "0,1" newline bitfld.long 0x00 12. "err_f_bndry_match_vc12,Mask for err_f_bndry_match_vc12" "0,1" newline bitfld.long 0x00 11. "err_f_bndry_match_vc11,Mask for err_f_bndry_match_vc11" "0,1" newline bitfld.long 0x00 10. "err_f_bndry_match_vc10,Mask for err_f_bndry_match_vc10" "0,1" newline bitfld.long 0x00 9. "err_f_bndry_match_vc9,Mask for err_f_bndry_match_vc9" "0,1" newline bitfld.long 0x00 8. "err_f_bndry_match_vc8,Mask for err_f_bndry_match_vc8" "0,1" newline bitfld.long 0x00 7. "err_f_bndry_match_vc7,Mask for err_f_bndry_match_vc7" "0,1" newline bitfld.long 0x00 6. "err_f_bndry_match_vc6,Mask for err_f_bndry_match_vc6" "0,1" newline bitfld.long 0x00 5. "err_f_bndry_match_vc5,Mask for err_f_bndry_match_vc5" "0,1" newline bitfld.long 0x00 4. "err_f_bndry_match_vc4,Mask for err_f_bndry_match_vc4" "0,1" newline bitfld.long 0x00 3. "err_f_bndry_match_vc3,Mask for err_f_bndry_match_vc3" "0,1" newline bitfld.long 0x00 2. "err_f_bndry_match_vc2,Mask for err_f_bndry_match_vc2" "0,1" newline bitfld.long 0x00 1. "err_f_bndry_match_vc1,Mask for err_f_bndry_match_vc1" "0,1" newline bitfld.long 0x00 0. "err_f_bndry_match_vc0,Mask for err_f_bndry_match_vc0" "0,1" group.long 0x288++0x03 line.long 0x00 "INT_FORCE_BNDRY_FRAME_FATAL,Force for fatal interruption caused by Frame Boundaries" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_bndry_match_vc15,Force err_f_bndry_match_vc15" "0,1" newline bitfld.long 0x00 14. "err_f_bndry_match_vc14,Force err_f_bndry_match_vc14" "0,1" newline bitfld.long 0x00 13. "err_f_bndry_match_vc13,Force err_f_bndry_match_vc13" "0,1" newline bitfld.long 0x00 12. "err_f_bndry_match_vc12,Force err_f_bndry_match_vc12" "0,1" newline bitfld.long 0x00 11. "err_f_bndry_match_vc11,Force err_f_bndry_match_vc11" "0,1" newline bitfld.long 0x00 10. "err_f_bndry_match_vc10,Force err_f_bndry_match_vc10" "0,1" newline bitfld.long 0x00 9. "err_f_bndry_match_vc9,Force err_f_bndry_match_vc9" "0,1" newline bitfld.long 0x00 8. "err_f_bndry_match_vc8,Force err_f_bndry_match_vc8" "0,1" newline bitfld.long 0x00 7. "err_f_bndry_match_vc7,Force err_f_bndry_match_vc7" "0,1" newline bitfld.long 0x00 6. "err_f_bndry_match_vc6,Force err_f_bndry_match_vc6" "0,1" newline bitfld.long 0x00 5. "err_f_bndry_match_vc5,Force err_f_bndry_match_vc5" "0,1" newline bitfld.long 0x00 4. "err_f_bndry_match_vc4,Force err_f_bndry_match_vc4" "0,1" newline bitfld.long 0x00 3. "err_f_bndry_match_vc3,Force err_f_bndry_match_vc3" "0,1" newline bitfld.long 0x00 2. "err_f_bndry_match_vc2,Force err_f_bndry_match_vc2" "0,1" newline bitfld.long 0x00 1. "err_f_bndry_match_vc1,Force err_f_bndry_match_vc1" "0,1" newline bitfld.long 0x00 0. "err_f_bndry_match_vc0,Force err_f_bndry_match_vc0" "0,1" rgroup.long 0x290++0x03 line.long 0x00 "INT_ST_SEQ_FRAME_FATAL,Fatal Interruption caused by Frame Sequence" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_seq_vc15,Incorrect Frame sequence detected in virtual channel 15" "0,1" newline bitfld.long 0x00 14. "err_f_seq_vc14,Incorrect Frame sequence detected in virtual channel 14" "0,1" newline bitfld.long 0x00 13. "err_f_seq_vc13,Incorrect Frame sequence detected in virtual channel 13" "0,1" newline bitfld.long 0x00 12. "err_f_seq_vc12,Incorrect Frame sequence detected in virtual channel 12" "0,1" newline bitfld.long 0x00 11. "err_f_seq_vc11,Incorrect Frame sequence detected in virtual channel 11" "0,1" newline bitfld.long 0x00 10. "err_f_seq_vc10,Incorrect Frame sequence detected in virtual channel 10" "0,1" newline bitfld.long 0x00 9. "err_f_seq_vc9,Incorrect Frame sequence detected in virtual channel 9" "0,1" newline bitfld.long 0x00 8. "err_f_seq_vc8,Incorrect Frame sequence detected in virtual channel 8" "0,1" newline bitfld.long 0x00 7. "err_f_seq_vc7,Incorrect Frame sequence detected in virtual channel 7" "0,1" newline bitfld.long 0x00 6. "err_f_seq_vc6,Incorrect Frame sequence detected in virtual channel 6" "0,1" newline bitfld.long 0x00 5. "err_f_seq_vc5,Incorrect Frame sequence detected in virtual channel 5" "0,1" newline bitfld.long 0x00 4. "err_f_seq_vc4,Incorrect Frame sequence detected in virtual channel 4" "0,1" newline bitfld.long 0x00 3. "err_f_seq_vc3,Incorrect Frame sequence detected in virtual channel 3" "0,1" newline bitfld.long 0x00 2. "err_f_seq_vc2,Incorrect Frame sequence detected in virtual channel 2" "0,1" newline bitfld.long 0x00 1. "err_f_seq_vc1,Incorrect Frame sequence detected in virtual channel 1" "0,1" newline bitfld.long 0x00 0. "err_f_seq_vc0,Incorrect Frame sequence detected in virtual channel 0" "0,1" group.long 0x294++0x03 line.long 0x00 "INT_MSK_SEQ_FRAME_FATAL,Mask for fatal interruption caused by Frame Sequence" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_seq_vc15,Mask for err_f_seq_vc15" "0,1" newline bitfld.long 0x00 14. "err_f_seq_vc14,Mask for err_f_seq_vc14" "0,1" newline bitfld.long 0x00 13. "err_f_seq_vc13,Mask for err_f_seq_vc13" "0,1" newline bitfld.long 0x00 12. "err_f_seq_vc12,Mask for err_f_seq_vc12" "0,1" newline bitfld.long 0x00 11. "err_f_seq_vc11,Mask for err_f_seq_vc11" "0,1" newline bitfld.long 0x00 10. "err_f_seq_vc10,Mask for err_f_seq_vc10" "0,1" newline bitfld.long 0x00 9. "err_f_seq_vc9,Mask for err_f_seq_vc9" "0,1" newline bitfld.long 0x00 8. "err_f_seq_vc8,Mask for err_f_seq_vc8" "0,1" newline bitfld.long 0x00 7. "err_f_seq_vc7,Mask for err_f_seq_vc7" "0,1" newline bitfld.long 0x00 6. "err_f_seq_vc6,Mask for err_f_seq_vc6" "0,1" newline bitfld.long 0x00 5. "err_f_seq_vc5,Mask for err_f_seq_vc5" "0,1" newline bitfld.long 0x00 4. "err_f_seq_vc4,Mask for err_f_seq_vc4" "0,1" newline bitfld.long 0x00 3. "err_f_seq_vc3,Mask for err_f_seq_vc3" "0,1" newline bitfld.long 0x00 2. "err_f_seq_vc2,Mask for err_f_seq_vc2" "0,1" newline bitfld.long 0x00 1. "err_f_seq_vc1,Mask for err_f_seq_vc1" "0,1" newline bitfld.long 0x00 0. "err_f_seq_vc0,Mask for err_f_seq_vc0" "0,1" group.long 0x298++0x03 line.long 0x00 "INT_FORCE_SEQ_FRAME_FATAL,Force for fatal interruption caused by Frame Sequence" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_f_seq_vc15,Force err_f_seq_vc15" "0,1" newline bitfld.long 0x00 14. "err_f_seq_vc14,Force err_f_seq_vc14" "0,1" newline bitfld.long 0x00 13. "err_f_seq_vc13,Force err_f_seq_vc13" "0,1" newline bitfld.long 0x00 12. "err_f_seq_vc12,Force err_f_seq_vc12" "0,1" newline bitfld.long 0x00 11. "err_f_seq_vc11,Force err_f_seq_vc11" "0,1" newline bitfld.long 0x00 10. "err_f_seq_vc10,Force err_f_seq_vc10" "0,1" newline bitfld.long 0x00 9. "err_f_seq_vc9,Force err_f_seq_vc9" "0,1" newline bitfld.long 0x00 8. "err_f_seq_vc8,Force err_f_seq_vc8" "0,1" newline bitfld.long 0x00 7. "err_f_seq_vc7,Force err_f_seq_vc7" "0,1" newline bitfld.long 0x00 6. "err_f_seq_vc6,Force err_f_seq_vc6" "0,1" newline bitfld.long 0x00 5. "err_f_seq_vc5,Force err_f_seq_vc5" "0,1" newline bitfld.long 0x00 4. "err_f_seq_vc4,Force err_f_seq_vc4" "0,1" newline bitfld.long 0x00 3. "err_f_seq_vc3,Force err_f_seq_vc3" "0,1" newline bitfld.long 0x00 2. "err_f_seq_vc2,Force err_f_seq_vc2" "0,1" newline bitfld.long 0x00 1. "err_f_seq_vc1,Force err_f_seq_vc1" "0,1" newline bitfld.long 0x00 0. "err_f_seq_vc0,Force err_f_seq_vc0" "0,1" rgroup.long 0x2A0++0x03 line.long 0x00 "INT_ST_CRC_FRAME_FATAL,Fatal Interruption caused by Frame CRC" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_frame_data_vc15,Last received Frame in virtual channel 15 had at least one CRC error" "0,1" newline bitfld.long 0x00 14. "err_frame_data_vc14,Last received Frame in virtual channel 14 had at least one CRC error" "0,1" newline bitfld.long 0x00 13. "err_frame_data_vc13,Last received Frame in virtual channel 13 had at least one CRC error" "0,1" newline bitfld.long 0x00 12. "err_frame_data_vc12,Last received Frame in virtual channel 12 had at least one CRC error" "0,1" newline bitfld.long 0x00 11. "err_frame_data_vc11,Last received Frame in virtual channel 11 had at least one CRC error" "0,1" newline bitfld.long 0x00 10. "err_frame_data_vc10,Last received Frame in virtual channel 10 had at least one CRC error" "0,1" newline bitfld.long 0x00 9. "err_frame_data_vc9,Last received Frame in virtual channel 9 had at least one CRC error" "0,1" newline bitfld.long 0x00 8. "err_frame_data_vc8,Last received Frame in virtual channel 8 had at least one CRC error" "0,1" newline bitfld.long 0x00 7. "err_frame_data_vc7,Last received Frame in virtual channel 7 had at least one CRC error" "0,1" newline bitfld.long 0x00 6. "err_frame_data_vc6,Last received Frame in virtual channel 6 had at least one CRC error" "0,1" newline bitfld.long 0x00 5. "err_frame_data_vc5,Last received Frame in virtual channel 5 had at least one CRC error" "0,1" newline bitfld.long 0x00 4. "err_frame_data_vc4,Last received Frame in virtual channel 4 had at least one CRC error" "0,1" newline bitfld.long 0x00 3. "err_frame_data_vc3,Last received Frame in virtual channel 3 had at least one CRC error" "0,1" newline bitfld.long 0x00 2. "err_frame_data_vc2,Last received Frame in virtual channel 2 had at least one CRC error" "0,1" newline bitfld.long 0x00 1. "err_frame_data_vc1,Last received Frame in virtual channel 1 had at least one CRC error" "0,1" newline bitfld.long 0x00 0. "err_frame_data_vc0,Last received Frame in virtual channel 0 had at least one CRC error" "0,1" group.long 0x2A4++0x03 line.long 0x00 "INT_MSK_CRC_FRAME_FATAL,Mask for fatal interruption caused by Frame CRC" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_frame_data_vc15,Mask for err_frame_data_vc15" "0,1" newline bitfld.long 0x00 14. "err_frame_data_vc14,Mask for err_frame_data_vc14" "0,1" newline bitfld.long 0x00 13. "err_frame_data_vc13,Mask for err_frame_data_vc13" "0,1" newline bitfld.long 0x00 12. "err_frame_data_vc12,Mask for err_frame_data_vc12" "0,1" newline bitfld.long 0x00 11. "err_frame_data_vc11,Mask for err_frame_data_vc11" "0,1" newline bitfld.long 0x00 10. "err_frame_data_vc10,Mask for err_frame_data_vc10" "0,1" newline bitfld.long 0x00 9. "err_frame_data_vc9,Mask for err_frame_data_vc9" "0,1" newline bitfld.long 0x00 8. "err_frame_data_vc8,Mask for err_frame_data_vc8" "0,1" newline bitfld.long 0x00 7. "err_frame_data_vc7,Mask for err_frame_data_vc7" "0,1" newline bitfld.long 0x00 6. "err_frame_data_vc6,Mask for err_frame_data_vc6" "0,1" newline bitfld.long 0x00 5. "err_frame_data_vc5,Mask for err_frame_data_vc5" "0,1" newline bitfld.long 0x00 4. "err_frame_data_vc4,Mask for err_frame_data_vc4" "0,1" newline bitfld.long 0x00 3. "err_frame_data_vc3,Mask for err_frame_data_vc3" "0,1" newline bitfld.long 0x00 2. "err_frame_data_vc2,Mask for err_frame_data_vc2" "0,1" newline bitfld.long 0x00 1. "err_frame_data_vc1,Mask for err_frame_data_vc1" "0,1" newline bitfld.long 0x00 0. "err_frame_data_vc0,Mask for err_frame_data_vc0" "0,1" group.long 0x2A8++0x03 line.long 0x00 "INT_FORCE_CRC_FRAME_FATAL,Force for fatal interruption caused by Frame CRC" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_frame_data_vc15,Force err_frame_data_vc15" "0,1" newline bitfld.long 0x00 14. "err_frame_data_vc14,Force err_frame_data_vc14" "0,1" newline bitfld.long 0x00 13. "err_frame_data_vc13,Force err_frame_data_vc13" "0,1" newline bitfld.long 0x00 12. "err_frame_data_vc12,Force err_frame_data_vc12" "0,1" newline bitfld.long 0x00 11. "err_frame_data_vc11,Force err_frame_data_vc11" "0,1" newline bitfld.long 0x00 10. "err_frame_data_vc10,Force err_frame_data_vc10" "0,1" newline bitfld.long 0x00 9. "err_frame_data_vc9,Force err_frame_data_vc9" "0,1" newline bitfld.long 0x00 8. "err_frame_data_vc8,Force err_frame_data_vc8" "0,1" newline bitfld.long 0x00 7. "err_frame_data_vc7,Force err_frame_data_vc7" "0,1" newline bitfld.long 0x00 6. "err_frame_data_vc6,Force err_frame_data_vc6" "0,1" newline bitfld.long 0x00 5. "err_frame_data_vc5,Force err_frame_data_vc5" "0,1" newline bitfld.long 0x00 4. "err_frame_data_vc4,Force err_frame_data_vc4" "0,1" newline bitfld.long 0x00 3. "err_frame_data_vc3,Force err_frame_data_vc3" "0,1" newline bitfld.long 0x00 2. "err_frame_data_vc2,Force err_frame_data_vc2" "0,1" newline bitfld.long 0x00 1. "err_frame_data_vc1,Force err_frame_data_vc1" "0,1" newline bitfld.long 0x00 0. "err_frame_data_vc0,Force err_frame_data_vc0" "0,1" rgroup.long 0x2B0++0x03 line.long 0x00 "INT_ST_PLD_CRC_FATAL,Fatal Interruption caused by Payload CRC" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_crc_vc15,Payload Checksum error detected on virtual channel 15" "0,1" newline bitfld.long 0x00 14. "err_crc_vc14,Payload Checksum error detected on virtual channel 14" "0,1" newline bitfld.long 0x00 13. "err_crc_vc13,Payload Checksum error detected on virtual channel 13" "0,1" newline bitfld.long 0x00 12. "err_crc_vc12,Payload Checksum error detected on virtual channel 12" "0,1" newline bitfld.long 0x00 11. "err_crc_vc11,Payload Checksum error detected on virtual channel 11" "0,1" newline bitfld.long 0x00 10. "err_crc_vc10,Payload Checksum error detected on virtual channel 10" "0,1" newline bitfld.long 0x00 9. "err_crc_vc9,Payload Checksum error detected on virtual channel 9" "0,1" newline bitfld.long 0x00 8. "err_crc_vc8,Payload Checksum error detected on virtual channel 8" "0,1" newline bitfld.long 0x00 7. "err_crc_vc7,Payload Checksum error detected on virtual channel 7" "0,1" newline bitfld.long 0x00 6. "err_crc_vc6,Payload Checksum error detected on virtual channel 6" "0,1" newline bitfld.long 0x00 5. "err_crc_vc5,Payload Checksum error detected on virtual channel 5" "0,1" newline bitfld.long 0x00 4. "err_crc_vc4,Payload Checksum error detected on virtual channel 4" "0,1" newline bitfld.long 0x00 3. "err_crc_vc3,Payload Checksum error detected on virtual channel 3" "0,1" newline bitfld.long 0x00 2. "err_crc_vc2,Payload Checksum error detected on virtual channel 2" "0,1" newline bitfld.long 0x00 1. "err_crc_vc1,Payload Checksum error detected on virtual channel 1" "0,1" newline bitfld.long 0x00 0. "err_crc_vc0,Payload Checksum error detected on virtual channel 0" "0,1" group.long 0x2B4++0x03 line.long 0x00 "INT_MSK_PLD_CRC_FATAL,Mask for fatal interruption caused by Payload CRC" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_crc_vc15,Mask for err_crc_vc15" "0,1" newline bitfld.long 0x00 14. "err_crc_vc14,Mask for err_crc_vc14" "0,1" newline bitfld.long 0x00 13. "err_crc_vc13,Mask for err_crc_vc13" "0,1" newline bitfld.long 0x00 12. "err_crc_vc12,Mask for err_crc_vc12" "0,1" newline bitfld.long 0x00 11. "err_crc_vc11,Mask for err_crc_vc11" "0,1" newline bitfld.long 0x00 10. "err_crc_vc10,Mask for err_crc_vc10" "0,1" newline bitfld.long 0x00 9. "err_crc_vc9,Mask for err_crc_vc9" "0,1" newline bitfld.long 0x00 8. "err_crc_vc8,Mask for err_crc_vc8" "0,1" newline bitfld.long 0x00 7. "err_crc_vc7,Mask for err_crc_vc7" "0,1" newline bitfld.long 0x00 6. "err_crc_vc6,Mask for err_crc_vc6" "0,1" newline bitfld.long 0x00 5. "err_crc_vc5,Mask for err_crc_vc5" "0,1" newline bitfld.long 0x00 4. "err_crc_vc4,Mask for err_crc_vc4" "0,1" newline bitfld.long 0x00 3. "err_crc_vc3,Mask for err_crc_vc3" "0,1" newline bitfld.long 0x00 2. "err_crc_vc2,Mask for err_crc_vc2" "0,1" newline bitfld.long 0x00 1. "err_crc_vc1,Mask for err_crc_vc1" "0,1" newline bitfld.long 0x00 0. "err_crc_vc0,Mask for err_crc_vc0" "0,1" group.long 0x2B8++0x03 line.long 0x00 "INT_FORCE_PLD_CRC_FATAL,Force for fatal interruption caused by Payload CRC" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_crc_vc15,Force err_crc_vc15" "0,1" newline bitfld.long 0x00 14. "err_crc_vc14,Force err_crc_vc14" "0,1" newline bitfld.long 0x00 13. "err_crc_vc13,Force err_crc_vc13" "0,1" newline bitfld.long 0x00 12. "err_crc_vc12,Force err_crc_vc12" "0,1" newline bitfld.long 0x00 11. "err_crc_vc11,Force err_crc_vc11" "0,1" newline bitfld.long 0x00 10. "err_crc_vc10,Force err_crc_vc10" "0,1" newline bitfld.long 0x00 9. "err_crc_vc9,Force err_crc_vc9" "0,1" newline bitfld.long 0x00 8. "err_crc_vc8,Force err_crc_vc8" "0,1" newline bitfld.long 0x00 7. "err_crc_vc7,Force err_crc_vc7" "0,1" newline bitfld.long 0x00 6. "err_crc_vc6,Force err_crc_vc6" "0,1" newline bitfld.long 0x00 5. "err_crc_vc5,Force err_crc_vc5" "0,1" newline bitfld.long 0x00 4. "err_crc_vc4,Force err_crc_vc4" "0,1" newline bitfld.long 0x00 3. "err_crc_vc3,Force err_crc_vc3" "0,1" newline bitfld.long 0x00 2. "err_crc_vc2,Force err_crc_vc2" "0,1" newline bitfld.long 0x00 1. "err_crc_vc1,Force err_crc_vc1" "0,1" newline bitfld.long 0x00 0. "err_crc_vc0,Force err_crc_vc0" "0,1" rgroup.long 0x2C0++0x03 line.long 0x00 "INT_ST_DATA_ID,Interruption caused by Data Type" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_id_vc15,Unrecognized or unimplemented data type detected in virtual channel 15" "0,1" newline bitfld.long 0x00 14. "err_id_vc14,Unrecognized or unimplemented data type detected in virtual channel 14" "0,1" newline bitfld.long 0x00 13. "err_id_vc13,Unrecognized or unimplemented data type detected in virtual channel 13" "0,1" newline bitfld.long 0x00 12. "err_id_vc12,Unrecognized or unimplemented data type detected in virtual channel 12" "0,1" newline bitfld.long 0x00 11. "err_id_vc11,Unrecognized or unimplemented data type detected in virtual channel 11" "0,1" newline bitfld.long 0x00 10. "err_id_vc10,Unrecognized or unimplemented data type detected in virtual channel 10" "0,1" newline bitfld.long 0x00 9. "err_id_vc9,Unrecognized or unimplemented data type detected in virtual channel 9" "0,1" newline bitfld.long 0x00 8. "err_id_vc8,Unrecognized or unimplemented data type detected in virtual channel 8" "0,1" newline bitfld.long 0x00 7. "err_id_vc7,Unrecognized or unimplemented data type detected in virtual channel 7" "0,1" newline bitfld.long 0x00 6. "err_id_vc6,Unrecognized or unimplemented data type detected in virtual channel 6" "0,1" newline bitfld.long 0x00 5. "err_id_vc5,Unrecognized or unimplemented data type detected in virtual channel 5" "0,1" newline bitfld.long 0x00 4. "err_id_vc4,Unrecognized or unimplemented data type detected in virtual channel 4" "0,1" newline bitfld.long 0x00 3. "err_id_vc3,Unrecognized or unimplemented data type detected in virtual channel 3" "0,1" newline bitfld.long 0x00 2. "err_id_vc2,Unrecognized or unimplemented data type detected in virtual channel 2" "0,1" newline bitfld.long 0x00 1. "err_id_vc1,Unrecognized or unimplemented data type detected in virtual channel 1" "0,1" newline bitfld.long 0x00 0. "err_id_vc0,Unrecognized or unimplemented data type detected in virtual channel 0" "0,1" group.long 0x2C4++0x03 line.long 0x00 "INT_MSK_DATA_ID,Mask for interruption caused by Data Type" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_id_vc15,Mask for err_id_vc15" "0,1" newline bitfld.long 0x00 14. "err_id_vc14,Mask for err_id_vc14" "0,1" newline bitfld.long 0x00 13. "err_id_vc13,Mask for err_id_vc13" "0,1" newline bitfld.long 0x00 12. "err_id_vc12,Mask for err_id_vc12" "0,1" newline bitfld.long 0x00 11. "err_id_vc11,Mask for err_id_vc11" "0,1" newline bitfld.long 0x00 10. "err_id_vc10,Mask for err_id_vc10" "0,1" newline bitfld.long 0x00 9. "err_id_vc9,Mask for err_id_vc9" "0,1" newline bitfld.long 0x00 8. "err_id_vc8,Mask for err_id_vc8" "0,1" newline bitfld.long 0x00 7. "err_id_vc7,Mask for err_id_vc7" "0,1" newline bitfld.long 0x00 6. "err_id_vc6,Mask for err_id_vc6" "0,1" newline bitfld.long 0x00 5. "err_id_vc5,Mask for err_id_vc5" "0,1" newline bitfld.long 0x00 4. "err_id_vc4,Mask for err_id_vc4" "0,1" newline bitfld.long 0x00 3. "err_id_vc3,Mask for err_id_vc3" "0,1" newline bitfld.long 0x00 2. "err_id_vc2,Mask for err_id_vc2" "0,1" newline bitfld.long 0x00 1. "err_id_vc1,Mask for err_id_vc1" "0,1" newline bitfld.long 0x00 0. "err_id_vc0,Mask for err_id_vc0" "0,1" group.long 0x2C8++0x03 line.long 0x00 "INT_FORCE_DATA_ID,Force for interruption caused by Data Type" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_id_vc15,Force err_id_vc15" "0,1" newline bitfld.long 0x00 14. "err_id_vc14,Force err_id_vc14" "0,1" newline bitfld.long 0x00 13. "err_id_vc13,Force err_id_vc13" "0,1" newline bitfld.long 0x00 12. "err_id_vc12,Force err_id_vc12" "0,1" newline bitfld.long 0x00 11. "err_id_vc11,Force err_id_vc11" "0,1" newline bitfld.long 0x00 10. "err_id_vc10,Force err_id_vc10" "0,1" newline bitfld.long 0x00 9. "err_id_vc9,Force err_id_vc9" "0,1" newline bitfld.long 0x00 8. "err_id_vc8,Force err_id_vc8" "0,1" newline bitfld.long 0x00 7. "err_id_vc7,Force err_id_vc7" "0,1" newline bitfld.long 0x00 6. "err_id_vc6,Force err_id_vc6" "0,1" newline bitfld.long 0x00 5. "err_id_vc5,Force err_id_vc5" "0,1" newline bitfld.long 0x00 4. "err_id_vc4,Force err_id_vc4" "0,1" newline bitfld.long 0x00 3. "err_id_vc3,Force err_id_vc3" "0,1" newline bitfld.long 0x00 2. "err_id_vc2,Force err_id_vc2" "0,1" newline bitfld.long 0x00 1. "err_id_vc1,Force err_id_vc1" "0,1" newline bitfld.long 0x00 0. "err_id_vc0,Force err_id_vc0" "0,1" rgroup.long 0x2D0++0x03 line.long 0x00 "INT_ST_ECC_CORRECTED,Interruption caused by Header single bit errors" bitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline bitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline bitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline bitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_ecc_corrected_vc15,D-PHY mode: Header error detected and corrected on virtual channel 15" "0,1" newline bitfld.long 0x00 14. "err_ecc_corrected_vc14,D-PHY mode: Header error detected and corrected on virtual channel 14" "0,1" newline bitfld.long 0x00 13. "err_ecc_corrected_vc13,D-PHY mode: Header error detected and corrected on virtual channel 13" "0,1" newline bitfld.long 0x00 12. "err_ecc_corrected_vc12,D-PHY mode: Header error detected and corrected on virtual channel 12" "0,1" newline bitfld.long 0x00 11. "err_ecc_corrected_vc11,D-PHY mode: Header error detected and corrected on virtual channel 11" "0,1" newline bitfld.long 0x00 10. "err_ecc_corrected_vc10,D-PHY mode: Header error detected and corrected on virtual channel 10" "0,1" newline bitfld.long 0x00 9. "err_ecc_corrected_vc9,D-PHY mode: Header error detected and corrected on virtual channel 9" "0,1" newline bitfld.long 0x00 8. "err_ecc_corrected_vc8,D-PHY mode: Header error detected and corrected on virtual channel 8" "0,1" newline bitfld.long 0x00 7. "err_ecc_corrected_vc7,D-PHY mode: Header error detected and corrected on virtual channel 7" "0,1" newline bitfld.long 0x00 6. "err_ecc_corrected_vc6,D-PHY mode: Header error detected and corrected on virtual channel 6" "0,1" newline bitfld.long 0x00 5. "err_ecc_corrected_vc5,D-PHY mode: Header error detected and corrected on virtual channel 5" "0,1" newline bitfld.long 0x00 4. "err_ecc_corrected_vc4,D-PHY mode: Header error detected and corrected on virtual channel 4" "0,1" newline bitfld.long 0x00 3. "err_ecc_corrected_vc3,D-PHY mode: Header error detected and corrected on virtual channel 3" "0,1" newline bitfld.long 0x00 2. "err_ecc_corrected_vc2,D-PHY mode: Header error detected and corrected on virtual channel 2" "0,1" newline bitfld.long 0x00 1. "err_ecc_corrected_vc1,D-PHY mode: Header error detected and corrected on virtual channel 1" "0,1" newline bitfld.long 0x00 0. "err_ecc_corrected_vc0,D-PHY mode: Header error detected and corrected on virtual channel 0" "0,1" group.long 0x2D4++0x03 line.long 0x00 "INT_MSK_ECC_CORRECTED,Mas for interruption caused by Header single bit errors" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_ecc_corrected_vc15,Mask for err_ecc_corrected_vc15" "0,1" newline bitfld.long 0x00 14. "err_ecc_corrected_vc14,Mask for err_ecc_corrected_vc14" "0,1" newline bitfld.long 0x00 13. "err_ecc_corrected_vc13,Mask for err_ecc_corrected_vc13" "0,1" newline bitfld.long 0x00 12. "err_ecc_corrected_vc12,Mask for err_ecc_corrected_vc12" "0,1" newline bitfld.long 0x00 11. "err_ecc_corrected_vc11,Mask for err_ecc_corrected_vc11" "0,1" newline bitfld.long 0x00 10. "err_ecc_corrected_vc10,Mask for err_ecc_corrected_vc10" "0,1" newline bitfld.long 0x00 9. "err_ecc_corrected_vc9,Mask for err_ecc_corrected_vc9" "0,1" newline bitfld.long 0x00 8. "err_ecc_corrected_vc8,Mask for err_ecc_corrected_vc8" "0,1" newline bitfld.long 0x00 7. "err_ecc_corrected_vc7,Mask for err_ecc_corrected_vc7" "0,1" newline bitfld.long 0x00 6. "err_ecc_corrected_vc6,Mask for err_ecc_corrected_vc6" "0,1" newline bitfld.long 0x00 5. "err_ecc_corrected_vc5,Mask for err_ecc_corrected_vc5" "0,1" newline bitfld.long 0x00 4. "err_ecc_corrected_vc4,Mask for err_ecc_corrected_vc4" "0,1" newline bitfld.long 0x00 3. "err_ecc_corrected_vc3,Mask for err_ecc_corrected_vc3" "0,1" newline bitfld.long 0x00 2. "err_ecc_corrected_vc2,Mask for err_ecc_corrected_vc2" "0,1" newline bitfld.long 0x00 1. "err_ecc_corrected_vc1,Mask for err_ecc_corrected_vc1" "0,1" newline bitfld.long 0x00 0. "err_ecc_corrected_vc0,Mask for err_ecc_corrected_vc0" "0,1" group.long 0x2D8++0x03 line.long 0x00 "INT_FORCE_ECC_CORRECTED,Force for interruption caused by Header single bit errors" rbitfld.long 0x00 31. "reserved_31,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 30. "reserved_30,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 29. "reserved_29,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 28. "reserved_28,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 19. "reserved_19,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "err_ecc_corrected_vc15,Force err_ecc_corrected_vc15" "0,1" newline bitfld.long 0x00 14. "err_ecc_corrected_vc14,Force err_ecc_corrected_vc14" "0,1" newline bitfld.long 0x00 13. "err_ecc_corrected_vc13,Force err_ecc_corrected_vc13" "0,1" newline bitfld.long 0x00 12. "err_ecc_corrected_vc12,Force err_ecc_corrected_vc12" "0,1" newline bitfld.long 0x00 11. "err_ecc_corrected_vc11,Force err_ecc_corrected_vc11" "0,1" newline bitfld.long 0x00 10. "err_ecc_corrected_vc10,Force err_ecc_corrected_vc10" "0,1" newline bitfld.long 0x00 9. "err_ecc_corrected_vc9,Force err_ecc_corrected_vc9" "0,1" newline bitfld.long 0x00 8. "err_ecc_corrected_vc8,Force err_ecc_corrected_vc8" "0,1" newline bitfld.long 0x00 7. "err_ecc_corrected_vc7,Force err_ecc_corrected_vc7" "0,1" newline bitfld.long 0x00 6. "err_ecc_corrected_vc6,Force err_ecc_corrected_vc6" "0,1" newline bitfld.long 0x00 5. "err_ecc_corrected_vc5,Force err_ecc_corrected_vc5" "0,1" newline bitfld.long 0x00 4. "err_ecc_corrected_vc4,Force err_ecc_corrected_vc4" "0,1" newline bitfld.long 0x00 3. "err_ecc_corrected_vc3,Force err_ecc_corrected_vc3" "0,1" newline bitfld.long 0x00 2. "err_ecc_corrected_vc2,Force err_ecc_corrected_vc2" "0,1" newline bitfld.long 0x00 1. "err_ecc_corrected_vc1,Force err_ecc_corrected_vc1" "0,1" newline bitfld.long 0x00 0. "err_ecc_corrected_vc0,Force err_ecc_corrected_vc0" "0,1" group.long 0x300++0x03 line.long 0x00 "SCRAMBLING,Data De-Scrambling" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "scramble_enable,Enables data de-scrambling on the controller side" "0,1" group.long 0x304++0x03 line.long 0x00 "SCRAMBLING_SEED1,De-scrambler seed for lane1" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "scramble_seed_lane1,Seed used by De-scrambler block for lane 1" group.long 0x308++0x03 line.long 0x00 "SCRAMBLING_SEED2,De-scrambler seed for lane2" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "scramble_seed_lane2,Seed used by De-scrambler block for lane 2" tree.end tree "MIPI_DSI (MIPI DSI Host Controller)" base ad:0x4AE10000 rgroup.long 0x00++0x03 line.long 0x00 "VERSION,This register contains the version of the DSI host controller" hexmask.long 0x00 0.--31. 1. "version,This field indicates the version of the MIPI DSI host" group.long 0x04++0x03 line.long 0x00 "PWR_UP,This register controls the power up of the controller" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "shutdownz,This bit configures the controller either to power up or to reset" "0: Reset the controller,1: Power up the controller" group.long 0x08++0x03 line.long 0x00 "CLKMGR_CFG,This register configures the factor for internal dividers to divide lanebyteclk for timeout purposes" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.byte 0x00 8.--15. 1. "to_clk_division,This field indicates the division factor for the Time Out clock used as the timing unit in the configuration of high-speed to low-power and low-power to high-speed transition error" newline hexmask.long.byte 0x00 0.--7. 1. "tx_esc_clk_division,This field indicates the division factor for the TX Escape clock source (lanebyteclk)" group.long 0x0C++0x03 line.long 0x00 "DPI_VCID,This register configures the Virtual Channel ID for DPI traffic" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 0.--1. "dpi_vcid,This field configures the DPI virtual channel id that is indexed to the Video mode packets" "0,1,2,3" group.long 0x10++0x03 line.long 0x00 "DPI_COLOR_CODING,This register configures DPI color coding" hexmask.long.tbyte 0x00 9.--31. 1. "reserved_31_9,Reserved and read as zero" newline bitfld.long 0x00 8. "loosely18_en,When set to 1 this bit activates loosely packed variant to 18-bit configurations" "0,1" newline rbitfld.long 0x00 4.--7. "reserved_7_4,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "dpi_color_coding,This field configures the DPI color for Video Mode/eDPI Command Mode coding as follows" "0: 16-bit configuration 1,1: 16-bit configuration 2,2: 16-bit configuration 3,3: 18-bit configuration 1,4: 18-bit configuration 2,5: 24-bit,6: 20-bit YCbCr 4:2:2 loosely packed / Reserved..,7: 24-bit YCbCr 4:2:2 / Reserved for eDPI..,8: 16-bit YCbCr 4:2:2 / Reserved for eDPI..,9: 30-bit - DSC_ENC 10bit / Reserved for eDPI..,10: 36-bit / Reserved for eDPI Command Mode,11: 12-bit YCbCr 4:2:0 / Reserved for eDPI..,12: DSC24 compressed Data,?..." group.long 0x14++0x03 line.long 0x00 "DPI_CFG_POL,This register configures the polarity of DPI signals" hexmask.long 0x00 5.--31. 1. "reserved_31_5,Reserved and read as zero" newline bitfld.long 0x00 4. "colorm_active_low,When set to 1 this bit configures the color mode pin (dpicolorm) as active low" "0,1" newline bitfld.long 0x00 3. "shutd_active_low,When set to 1 this bit configures the shutdown pin (dpishutdn) as active low" "0,1" newline bitfld.long 0x00 2. "hsync_active_low,When set to 1 this bit configures the horizontal synchronism pin (dpihsync) as active low" "0,1" newline bitfld.long 0x00 1. "vsync_active_low,When set to 1 this bit configures the vertical synchronism pin (dpivsync) as active low" "0,1" newline bitfld.long 0x00 0. "dataen_active_low,When set to 1 this bit configures the data enable pin (dpidataen) as active low" "0,1" group.long 0x18++0x03 line.long 0x00 "DPI_LP_CMD_TIM,This register configures the timing for low-power commands sent while in video mode" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline hexmask.long.byte 0x00 16.--23. 1. "outvact_lpcmd_time,This field is used for the transmission of commands in low-power mode" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline hexmask.long.byte 0x00 0.--7. 1. "invact_lpcmd_time,This field is used for the transmission of commands in low-power mode" group.long 0x2C++0x03 line.long 0x00 "PCKHDL_CFG,This register configures how EoTp BTA CRC and ECC are to be used to meet peripherals characteristics" hexmask.long 0x00 6.--31. 1. "reserved_31_6,Reserved and read as zero" newline bitfld.long 0x00 5. "eotp_tx_lp_en,When set to 1 this bit enables the EoTp transmission in low-power" "0,1" newline bitfld.long 0x00 4. "crc_rx_en,When set to 1 this bit enables the CRC reception and error reporting" "0,1" newline bitfld.long 0x00 3. "ecc_rx_en,When set to 1 this bit enables the ECC reception error correction and reporting" "0,1" newline bitfld.long 0x00 2. "bta_en,When set to 1 this bit enables the Bus Turn-Around (BTA) request" "0,1" newline bitfld.long 0x00 1. "eotp_rx_en,When set to 1 this bit enables the EoTp reception" "0,1" newline bitfld.long 0x00 0. "eotp_tx_en,When set to 1 this bit enables the EoTp transmission in high-speed" "0,1" group.long 0x30++0x03 line.long 0x00 "GEN_VCID,This register configures the Virtual Channel ID of READ responses to store and return to Generic interface" hexmask.long.word 0x00 18.--31. 1. "reserved_31_18,Reserved and read as zero" newline bitfld.long 0x00 16.--17. "gen_vcid_tx_auto,This field indicates the Generic interface virtual channel identification where generic packet is automatically generated & transmitted" "0,1,2,3" newline rbitfld.long 0x00 10.--15. "reserved_15_10,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--9. "gen_vcid_tear_auto,This field indicates the virtual channel identification for tear effect by hardware" "0,1,2,3" newline rbitfld.long 0x00 2.--7. "reserved_7_2,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "gen_vcid_rx,This field indicates the Generic interface read-back virtual channel identification" "0,1,2,3" group.long 0x34++0x03 line.long 0x00 "MODE_CFG,This register configures the mode of operation between Video or Command Mode" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "cmd_video_mode,This bit configures the operation mode" "0: video mode,1: command mode" group.long 0x38++0x03 line.long 0x00 "VID_MODE_CFG,This register configures several aspects of Video mode operation the transmission mode switching to low-power in the middle of a frame enabling acknowledge and whether to send commands in low-power" hexmask.long.byte 0x00 25.--31. 1. "reserved_31_25,Reserved and read as zero" newline bitfld.long 0x00 24. "vpg_orientation,This field indicates the color bar orientation as follows" "0: Vertical mode,1: Horizontal mode" newline rbitfld.long 0x00 21.--23. "reserved_23_21,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. "vpg_mode,This field is to select the pattern" "0: horizontal or vertical,1: vertical only" newline rbitfld.long 0x00 17.--19. "reserved_19_17,Reserved and read as zero" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "vpg_en,When set to 1 this bit enables the video mode pattern generator" "0,1" newline bitfld.long 0x00 15. "lp_cmd_en,When set to 1 this bit enables the command transmission only in low-power mode" "0,1" newline bitfld.long 0x00 14. "frame_bta_ack_en,When set to 1 this bit enables the request for an acknowledge response at the end of a frame" "0,1" newline bitfld.long 0x00 13. "lp_hfp_en,When set to 1 this bit enables the return to low-power inside the HFP period when timing allows" "0,1" newline bitfld.long 0x00 12. "lp_hbp_en,When set to 1 this bit enables the return to low-power inside the HBP period when timing allows" "0,1" newline bitfld.long 0x00 11. "lp_vact_en,When set to 1 this bit enables the return to low-power inside the VACT period when timing allows" "0,1" newline bitfld.long 0x00 10. "lp_vfp_en,When set to 1 this bit enables the return to low-power inside the VFP period when timing allows" "0,1" newline bitfld.long 0x00 9. "lp_vbp_en,When set to 1 this bit enables the return to low-power inside the VBP period when timing allows" "0,1" newline bitfld.long 0x00 8. "lp_vsa_en,When set to 1 this bit enables the return to low-power inside the VSA period when timing allows" "0,1" newline rbitfld.long 0x00 2.--7. "reserved_7_2,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "vid_mode_type,This field indicates the video mode transmission type as follows" "0: Non-burst with sync pulses,1: Non-burst with sync events,2: Burst mode,3: Burst mode" group.long 0x3C++0x03 line.long 0x00 "VID_PKT_SIZE,This register configures the video packet size" hexmask.long.tbyte 0x00 14.--31. 1. "reserved_31_14,Reserved and read as zero" newline hexmask.long.word 0x00 0.--13. 1. "vid_pkt_size,This field configures the number of pixels in a single video packet" group.long 0x40++0x03 line.long 0x00 "VID_NUM_CHUNKS,This register configures the number of chunks to use" hexmask.long.tbyte 0x00 13.--31. 1. "reserved_31_13,Reserved and read as zero" newline hexmask.long.word 0x00 0.--12. 1. "vid_num_chunks,This register configures the number of chunks to be transmitted during a Line period (a chunk is pair made of a video packet and a null packet)" group.long 0x44++0x03 line.long 0x00 "VID_NULL_SIZE,This register configures the size of null packets" hexmask.long.tbyte 0x00 13.--31. 1. "reserved_31_13,Reserved and read as zero" newline hexmask.long.word 0x00 0.--12. 1. "vid_null_size,This register configures the number of bytes inside a null packet" group.long 0x48++0x03 line.long 0x00 "VID_HSA_TIME,This register configures the video HSA time" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "vid_hsa_time,This field configures the Horizontal Synchronism Active period in lane byte clock cycles" group.long 0x4C++0x03 line.long 0x00 "VID_HBP_TIME,This register configures the video HBP time" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "vid_hbp_time,This field configures the Horizontal Back Porch period in lane byte clock cycles" group.long 0x50++0x03 line.long 0x00 "VID_HLINE_TIME,This register configures the overall time for each video line" hexmask.long.tbyte 0x00 15.--31. 1. "reserved_31_15,Reserved and read as zero" newline hexmask.long.word 0x00 0.--14. 1. "vid_hline_time,This field configures the size of the total line time (HSA+HBP+HACT+HFP) counted in lane byte clock cycles" group.long 0x54++0x03 line.long 0x00 "VID_VSA_LINES,This register configures the VSA period" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vsa_lines,This field configures the Vertical Synchronism Active period measured in number of horizontal lines" group.long 0x58++0x03 line.long 0x00 "VID_VBP_LINES,This register configures the VBP period" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vbp_lines,This field configures the Vertical Back Porch period measured in number of horizontal lines" group.long 0x5C++0x03 line.long 0x00 "VID_VFP_LINES,This register configures the VFP period" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vfp_lines,This field configures the Vertical Front Porch period measured in number of horizontal lines" group.long 0x60++0x03 line.long 0x00 "VID_VACTIVE_LINES,This register configures the vertical resolution of video" hexmask.long.tbyte 0x00 14.--31. 1. "reserved_31_14,Reserved and read as zero" newline hexmask.long.word 0x00 0.--13. 1. "v_active_lines,This field configures the Vertical Active period measured in number of horizontal lines" group.long 0x68++0x03 line.long 0x00 "CMD_MODE_CFG,This register configures several aspect of command mode operation tearing effect acknowledge for each packet and the speed mode to transmit each Data Type related to commands" hexmask.long.byte 0x00 25.--31. 1. "reserved_31_25,Reserved and read as zero" newline bitfld.long 0x00 24. "max_rd_pkt_size,This bit configures the maximum read packet size command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline rbitfld.long 0x00 20.--23. "reserved_23_20,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "dcs_lw_tx,This bit configures the DCS long write packet command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 18. "dcs_sr_0p_tx,This bit configures the DCS short read packet with zero parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 17. "dcs_sw_1p_tx,This bit configures the DCS short write packet with one parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 16. "dcs_sw_0p_tx,This bit configures the DCS short write packet with zero parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline rbitfld.long 0x00 15. "reserved_15,Reserved and read as zero" "0,1" newline bitfld.long 0x00 14. "gen_lw_tx,This bit configures the Generic long write packet command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 13. "gen_sr_2p_tx,This bit configures the Generic short read packet with two parameters command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 12. "gen_sr_1p_tx,This bit configures the Generic short read packet with one parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 11. "gen_sr_0p_tx,This bit configures the Generic short read packet with zero parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 10. "gen_sw_2p_tx,This bit configures the Generic short write packet with two parameters command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 9. "gen_sw_1p_tx,This bit configures the Generic short write packet with one parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline bitfld.long 0x00 8. "gen_sw_0p_tx,This bit configures the Generic short write packet with zero parameter command transmission type" "0: Transition type is high-speed,1: Transition type is low-power" newline rbitfld.long 0x00 2.--7. "reserved_7_2,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "ack_rqst_en,When set to 1 this bit enables the acknowledge request after each packet transmission" "0,1" newline bitfld.long 0x00 0. "tear_fx_en,When set to 1 this bit enables the tearing effect acknowledge request" "0,1" group.long 0x6C++0x03 line.long 0x00 "GEN_HDR,This register sets the header for new packets sent using the Generic interface" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline hexmask.long.byte 0x00 16.--23. 1. "gen_wc_msbyte,This field configures the most significant byte of the header packet's word count for long packets or data 1 for short packets" newline hexmask.long.byte 0x00 8.--15. 1. "gen_wc_lsbyte,This field configures the least significant byte of the header packet's Word count for long packets or data 0 for short packets" newline bitfld.long 0x00 6.--7. "gen_vc,This field configures the Virtual Channel ID of the header packet" "0,1,2,3" newline bitfld.long 0x00 0.--5. "gen_dt,This field configures the packet Data Type of the header packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x70++0x03 line.long 0x00 "GEN_PLD_DATA,This register sets the payload for packets sent using the Generic interface and when read returns the contents of READ responses from the peripheral" hexmask.long.byte 0x00 24.--31. 1. "gen_pld_b4,This field indicates byte 4 of the packet payload" newline hexmask.long.byte 0x00 16.--23. 1. "gen_pld_b3,This field indicates byte 3 of the packet payload" newline hexmask.long.byte 0x00 8.--15. 1. "gen_pld_b2,This field indicates byte 2 of the packet payload" newline hexmask.long.byte 0x00 0.--7. 1. "gen_pld_b1,This field indicates byte 1 of the packet payload" rgroup.long 0x74++0x03 line.long 0x00 "CMD_PKT_STATUS,This register configures contains information about the status of FIFOs related to DBI and Generic interface" bitfld.long 0x00 28.--31. "reserved_31_28,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "reserved_27,Reserved and read as zero" "0,1" newline bitfld.long 0x00 26. "reserved_26,Reserved and read as zero" "0,1" newline bitfld.long 0x00 25. "reserved_25,Reserved and read as zero" "0,1" newline bitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20.--23. "reserved_23_20,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "gen_buff_pld_full,This bit indicates the full status of the generic payload internal buffer" "0,1" newline bitfld.long 0x00 18. "gen_buff_pld_empty,This bit indicates the empty status of the generic payload internal buffer" "0,1" newline bitfld.long 0x00 17. "gen_buff_cmd_full,This bit indicates the full status of the generic command internal buffer" "0,1" newline bitfld.long 0x00 16. "gen_buff_cmd_empty,This bit indicates the empty status of the generic command internal buffer" "0,1" newline bitfld.long 0x00 15. "reserved_15,Reserved and read as zero" "0,1" newline bitfld.long 0x00 14. "reserved_14,Reserved and read as zero" "0,1" newline bitfld.long 0x00 13. "reserved_13,Reserved and read as zero" "0,1" newline bitfld.long 0x00 12. "reserved_12,Reserved and read as zero" "0,1" newline bitfld.long 0x00 11. "reserved_11,Reserved and read as zero" "0,1" newline bitfld.long 0x00 10. "reserved_10,Reserved and read as zero" "0,1" newline bitfld.long 0x00 9. "reserved_9,Reserved and read as zero" "0,1" newline bitfld.long 0x00 8. "reserved_8,Reserved and read as zero" "0,1" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "gen_rd_cmd_busy,This bit is set when a read command is issued and cleared when the entire response is stored in the FIFO for GENERIC interface" "0,1" newline bitfld.long 0x00 5. "gen_pld_r_full,This bit indicates the full status of the generic read payload FIFO" "0,1" newline bitfld.long 0x00 4. "gen_pld_r_empty,This bit indicates the empty status of the generic read payload FIFO" "0,1" newline bitfld.long 0x00 3. "gen_pld_w_full,This bit indicates the full status of the generic write payload FIFO" "0,1" newline bitfld.long 0x00 2. "gen_pld_w_empty,This bit indicates the empty status of the generic write payload FIFO" "0,1" newline bitfld.long 0x00 1. "gen_cmd_full,This bit indicates the full status of the generic command FIFO" "0,1" newline bitfld.long 0x00 0. "gen_cmd_empty,This bit indicates the empty status of the generic command FIFO" "0,1" group.long 0x78++0x03 line.long 0x00 "TO_CNT_CFG,This register configures counters that trigger timeout errors" hexmask.long.word 0x00 16.--31. 1. "hstx_to_cnt,This field configures the timeout counter that triggers a high-speed transmission timeout contention detection (measured in TO_CLK_DIVISION cycles)" newline hexmask.long.word 0x00 0.--15. 1. "lprx_to_cnt,This field configures the timeout counter that triggers a low-power reception timeout contention detection (measured in TO_CLK_DIVISION cycles)" group.long 0x7C++0x03 line.long 0x00 "HS_RD_TO_CNT,This register configures the Peripheral Response timeout after high-speed Read operations" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "hs_rd_to_cnt,This field sets a period for which MIPI DSI host keeps the link still after sending a high-speed Read operation" group.long 0x80++0x03 line.long 0x00 "LP_RD_TO_CNT,This register configures the Peripheral Response timeout after low-power Read operations" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "lp_rd_to_cnt,This field sets a period for which MIPI DSI host keeps the link still after sending a low-power Read operation" group.long 0x84++0x03 line.long 0x00 "HS_WR_TO_CNT,This register configures the Peripheral Response timeout after high-speed Write operations" hexmask.long.byte 0x00 25.--31. 1. "reserved_31_25,Reserved and read as zero" newline rbitfld.long 0x00 24. "reserved_24,Reserved and read as zero" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "reserved_23_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "hs_wr_to_cnt,This field sets a period for which MIPI DSI host keeps the link still after sending a high-speed Write operation" group.long 0x88++0x03 line.long 0x00 "LP_WR_TO_CNT,This register configures the Peripheral Response timeout after low-power Write operations" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "lp_wr_to_cnt,This field sets a period for which MIPI DSI host keeps the link still after sending a low-power Write operation" group.long 0x8C++0x03 line.long 0x00 "BTA_TO_CNT,This register configures the Peripheral Response timeout after Bus Turnaround completion" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.word 0x00 0.--15. 1. "bta_to_cnt,This field sets a period for which MIPI DSI host keeps the link still after completing a Bus Turnaround" group.long 0x90++0x03 line.long 0x00 "SDF_3D,This register stores 3D control information for VSS packets in video mode" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "send_3d_cfg,When set causes the next VSS packet to include 3D control payload in every VSS packet" "0,1" newline hexmask.long.word 0x00 6.--15. 1. "reserved_15_6,Reserved and read as zero" newline bitfld.long 0x00 5. "right_first,This bit defines the left/right order" "0: left eye is sent first then right eye,1: right eye data is sent first then left eye" newline bitfld.long 0x00 4. "second_vsync,This field defines whether there is a second VSYNC pulse between Left and Right Images when 3D Image Format is Frame-based" "0: No sync pulses between left and right data,1: Sync pulse HSYNC VSYNC blanking between left.." newline bitfld.long 0x00 2.--3. "format_3d,This field defines 3D Image Format" "0: Alternating lines of left and right data,1: Alternating frames of left and right data,2: Alternating pixels of left and right data,?..." newline bitfld.long 0x00 0.--1. "mode_3d,This field defines 3D Mode On/Off and Display Orientation" "0: 3D Mode Off 2D Mode On,1: 3D Mode On Portrait Orientation,2: 3D Mode On Landscape Orientation,?..." group.long 0x94++0x03 line.long 0x00 "LPCLK_CTRL,This register configures the possibility for using non continuous clock in the clock lane" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "auto_clklane_ctrl,This bit enables the automatic mechanism to stop providing clock in the clock lane when time allows" "0,1" newline bitfld.long 0x00 0. "phy_txrequestclkhs,This bit controls the D-PHY PPI txrequestclkhs signal" "0,1" group.long 0x98++0x03 line.long 0x00 "PHY_TMR_LPCLK_CFG,This register sets the time that MIPI DSI host assumes in calculations for the clock lane to switch between high-speed and low-power" rbitfld.long 0x00 26.--31. "reserved_31_26,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "phy_clkhs2lp_time,This field configures the maximum time that the D-PHY clock lane takes to go from high-speed to low-power transmission measured in lane byte clock cycles" newline rbitfld.long 0x00 10.--15. "reserved_15_10,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "phy_clklp2hs_time,This field configures the maximum time that the D-PHY clock lane takes to go from low-power to high-speed transmission measured in lane byte clock cycles" group.long 0x9C++0x03 line.long 0x00 "PHY_TMR_CFG,This register sets the time that MIPI DSI host assumes in calculations for the data lanes to switch between high-speed and low-power" rbitfld.long 0x00 26.--31. "reserved_31_26,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "phy_hs2lp_time,This field configures the maximum time that the D-PHY data lanes take to go from high-speed to low-power transmission measured in lane byte clock cycles" newline rbitfld.long 0x00 10.--15. "reserved_15_10,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "phy_lp2hs_time,This field configures the maximum time that the D-PHY data lanes take to go from low-power to high-speed transmission measured in lane byte clock cycles" group.long 0xA0++0x03 line.long 0x00 "PHY_RSTZ,This register controls resets and the PLL of the D-PHY" hexmask.long 0x00 4.--31. 1. "reserved_31_4,Reserved and read as zero" newline bitfld.long 0x00 3. "phy_forcepll,When the D-PHY is in ULPS this bit enables the D-PHY PLL" "0,1" newline bitfld.long 0x00 2. "phy_enableclk,When set to 1 this bit enables the D-PHY Clock Lane Module" "0,1" newline bitfld.long 0x00 1. "phy_rstz,When set to 0 this bit places the digital section of the D-PHY in the reset state" "0,1" newline bitfld.long 0x00 0. "phy_shutdownz,When set to 0 this bit places the complete D-PHY macro in power-down state" "0,1" group.long 0xA4++0x03 line.long 0x00 "PHY_IF_CFG,This register configures the number of active lanes and the minimum time to remain in stop state" hexmask.long.word 0x00 16.--31. 1. "reserved_31_16,Reserved and read as zero" newline hexmask.long.byte 0x00 8.--15. 1. "phy_stop_wait_time,This field configures the minimum time PHY needs to stay in StopState before requesting an high-speed transmission" newline rbitfld.long 0x00 2.--7. "reserved_7_2,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "n_lanes,This field configures the number of active data lanes" "0: ONELANES,1: lanes 0 and 1,2: lanes 0 1 and 2,3: lanes 0 1 2 and 3" group.long 0xA8++0x03 line.long 0x00 "PHY_ULPS_CTRL,This register configures entering and leaving ULPS in the D-PHY" hexmask.long 0x00 4.--31. 1. "reserved_31_4,Reserved and read as zero" newline bitfld.long 0x00 3. "phy_txexitulpslan,ULPS mode Exit on all active data lanes" "0,1" newline bitfld.long 0x00 2. "phy_txrequlpslan,ULPS mode Request on all active data lanes" "0,1" newline bitfld.long 0x00 1. "phy_txexitulpsclk,ULPS mode Exit on clock lane" "0,1" newline bitfld.long 0x00 0. "phy_txrequlpsclk,ULPS mode Request on clock lane" "0,1" group.long 0xAC++0x03 line.long 0x00 "PHY_TX_TRIGGERS,This register configures the pins that activate triggers in the D-PHY" hexmask.long 0x00 4.--31. 1. "reserved_31_4,Reserved and read as zero" newline bitfld.long 0x00 0.--3. "phy_tx_triggers,This field controls the trigger transmissions" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB0++0x03 line.long 0x00 "PHY_STATUS,This register contains information about the status of the D-PHY" hexmask.long.tbyte 0x00 13.--31. 1. "reserved_31_13,Reserved and read as zero" newline bitfld.long 0x00 12. "phy_ulpsactivenot3lane,This bit indicates the status of ulpsactivenot3lane D-PHY signal" "0,1" newline bitfld.long 0x00 11. "phy_stopstate3lane,This bit indicates the status of phystopstate3lane D-PHY signal" "0,1" newline bitfld.long 0x00 10. "phy_ulpsactivenot2lane,This bit indicates the status of ulpsactivenot2lane D-PHY signal" "0,1" newline bitfld.long 0x00 9. "phy_stopstate2lane,This bit indicates the status of phystopstate2lane D-PHY signal" "0,1" newline bitfld.long 0x00 8. "phy_ulpsactivenot1lane,This bit indicates the status of ulpsactivenot1lane D-PHY signal" "0,1" newline bitfld.long 0x00 7. "phy_stopstate1lane,This bit indicates the status of phystopstate1lane D-PHY signal" "0,1" newline bitfld.long 0x00 6. "phy_rxulpsesc0lane,This bit indicates the status of rxulpsesc0lane D-PHY signal" "0,1" newline bitfld.long 0x00 5. "phy_ulpsactivenot0lane,This bit indicates the status of ulpsactivenot0lane D-PHY signal" "0,1" newline bitfld.long 0x00 4. "phy_stopstate0lane,This bit indicates the status of phystopstate0lane D-PHY signal" "0,1" newline bitfld.long 0x00 3. "phy_ulpsactivenotclk,This bit indicates the status of phyulpsactivenotclk D-PHY signal" "0,1" newline bitfld.long 0x00 2. "phy_stopstateclklane,This bit indicates the status of phystopstateclklane D-PHY signal" "0,1" newline bitfld.long 0x00 1. "phy_direction,This bit indicates the status of phydirection D-PHY signal" "0,1" newline bitfld.long 0x00 0. "phy_lock,This bit indicates the status of phylock D-PHY signal" "0,1" group.long 0xB4++0x03 line.long 0x00 "PHY_TST_CTRL0,This register controls clock and clear pins of the D-PHY vendor specific interface" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 1. "phy_testclk,This bit is used to clock the TESTDIN bus into the D-PHY" "0,1" newline bitfld.long 0x00 0. "phy_testclr,PHY test interface clear (active high)" "0,1" group.long 0xB8++0x03 line.long 0x00 "PHY_TST_CTRL1,This register controls data and enable pins of the D-PHY vendor specific interface" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "phy_testen,PHY test interface operation selector" "0: the data write operation is set on the rising..,1: the address write operation is set on the.." newline hexmask.long.byte 0x00 8.--15. 1. "pht_testdout,PHY output 8-bit data bus for read-back and internal probing functionalities" newline hexmask.long.byte 0x00 0.--7. 1. "phy_testdin,PHY test interface input 8-bit data bus for internal register programming and test functionalities access" rgroup.long 0xBC++0x03 line.long 0x00 "INT_ST0,This register contains the status of interrupt sources from acknowledge reports and the D-PHY" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline bitfld.long 0x00 20. "dphy_errors_4,This bit indicates LP1 contention error ErrContentionLP1 from Lane 0" "0,1" newline bitfld.long 0x00 19. "dphy_errors_3,This bit indicates LP0 contention error ErrContentionLP0 from Lane 0" "0,1" newline bitfld.long 0x00 18. "dphy_errors_2,This bit indicates control error ErrControl from Lane 0" "0,1" newline bitfld.long 0x00 17. "dphy_errors_1,This bit indicates ErrSyncEsc low-power data transmission synchronization error from Lane 0" "0,1" newline bitfld.long 0x00 16. "dphy_errors_0,This bit indicates ErrEsc escape entry error from Lane 0" "0,1" newline bitfld.long 0x00 15. "ack_with_err_15,This bit retrieves the DSI protocol violation from the Acknowledge error report" "0,1" newline bitfld.long 0x00 14. "ack_with_err_14,This bit retrieves the reserved (specific to device) from the Acknowledge error report" "0,1" newline bitfld.long 0x00 13. "ack_with_err_13,This bit retrieves the invalid transmission length from the Acknowledge error report" "0,1" newline bitfld.long 0x00 12. "ack_with_err_12,This bit retrieves the DSI VC ID Invalid from the Acknowledge error report" "0,1" newline bitfld.long 0x00 11. "ack_with_err_11,This bit retrieves the not recognized DSI data type from the Acknowledge error report" "0,1" newline bitfld.long 0x00 10. "ack_with_err_10,This bit retrieves the checksum error (long packet only) from the Acknowledge error report" "0,1" newline bitfld.long 0x00 9. "ack_with_err_9,This bit retrieves the ECC error multi-bit (detected not corrected) from the Acknowledge error report" "0,1" newline bitfld.long 0x00 8. "ack_with_err_8,This bit retrieves the ECC error single-bit (detected and corrected) from the Acknowledge error report" "0,1" newline bitfld.long 0x00 7. "ack_with_err_7,This bit retrieves the reserved (specific to device) from the acknowledge error report" "0,1" newline bitfld.long 0x00 6. "ack_with_err_6,This bit retrieves the False Control error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 5. "ack_with_err_5,This bit retrieves the Peripheral Timeout error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 4. "ack_with_err_4,This bit retrieves the low-power Transmit Sync error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 3. "ack_with_err_3,This bit retrieves the Escape Mode Entry Command error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 2. "ack_with_err_2,This bit retrieves the EoT Sync error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 1. "ack_with_err_1,This bit retrieves the SoT Sync error from the Acknowledge error report" "0,1" newline bitfld.long 0x00 0. "ack_with_err_0,This bit retrieves the SoT error from the Acknowledge error report" "0,1" rgroup.long 0xC0++0x03 line.long 0x00 "INT_ST1,This register contains the status of interrupt sources related to timeouts ECC CRC packet size EoTp Generic and DBI interfaces" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "dpi_buff_pld_under,This bit indicates that an underflow has occurred when reading payload to build DSI packet for video mode" "0,1" newline bitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline bitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline bitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline bitfld.long 0x00 15. "reserved_15,Reserved and read as zero" "0,1" newline bitfld.long 0x00 14. "reserved_14,Reserved and read as zero" "0,1" newline bitfld.long 0x00 13. "reserved_13,Reserved and read as zero" "0,1" newline bitfld.long 0x00 12. "gen_pld_recev_err,This bit indicates that during a generic interface packet read back the payload FIFO becomes full and the received data is corrupted" "0,1" newline bitfld.long 0x00 11. "gen_pld_rd_err,This bit indicates that during a DCS read data the payload FIFO becomes empty and the data sent to the interface is corrupted" "0,1" newline bitfld.long 0x00 10. "gen_pld_send_err,This bit indicates that during a Generic interface packet build the payload FIFO becomes empty and corrupt data is sent" "0,1" newline bitfld.long 0x00 9. "gen_pld_wr_err,This bit indicates that the system tried to write a payload data through the Generic interface and the FIFO is full" "0,1" newline bitfld.long 0x00 8. "gen_cmd_wr_err,This bit indicates that the system tried to write a command through the Generic interface and the FIFO is full" "0,1" newline bitfld.long 0x00 7. "dpi_pld_wr_err,This bit indicates that during a DPI pixel line storage the payload FIFO becomes full and the data stored is corrupted" "0,1" newline bitfld.long 0x00 6. "eopt_err,This bit indicates that the EoTp packet has not been received at the end of the incoming peripheral transmission" "0,1" newline bitfld.long 0x00 5. "pkt_size_err,This bit indicates that the packet size error has been detected during the packet reception" "0,1" newline bitfld.long 0x00 4. "crc_err,This bit indicates that the CRC error has been detected in the received packet payload" "0,1" newline bitfld.long 0x00 3. "ecc_milti_err,This bit indicates that the ECC multiple error has been detected in a received packet" "0,1" newline bitfld.long 0x00 2. "ecc_single_err,This bit indicates that the ECC single error has been detected and corrected in a received packet" "0,1" newline bitfld.long 0x00 1. "to_lp_rx,This bit indicates that the low-power reception timeout counter reached the end and contention has been detected" "0,1" newline bitfld.long 0x00 0. "to_hs_tx,This bit indicates that the high-speed transmission timeout counter reached the end and contention has been detected" "0,1" group.long 0xC4++0x03 line.long 0x00 "INT_MSK0,This register configures masks for the sources of interrupts that affect the INT_ST0 register" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline bitfld.long 0x00 20. "mask_dphy_errors_4,Mask for dphy_errors_4" "0,1" newline bitfld.long 0x00 19. "mask_dphy_errors_3,Mask for dphy_errors_3" "0,1" newline bitfld.long 0x00 18. "mask_dphy_errors_2,Mask for dphy_errors_2" "0,1" newline bitfld.long 0x00 17. "mask_dphy_errors_1,Mask for dphy_errors_1" "0,1" newline bitfld.long 0x00 16. "mask_dphy_errors_0,Mask for dphy_errors_0" "0,1" newline bitfld.long 0x00 15. "mask_ack_with_err_15,Mask for ack_with_err_15" "0,1" newline bitfld.long 0x00 14. "mask_ack_with_err_14,Mask for ack_with_err_14" "0,1" newline bitfld.long 0x00 13. "mask_ack_with_err_13,Mask for ack_with_err_13" "0,1" newline bitfld.long 0x00 12. "mask_ack_with_err_12,Mask for ack_with_err_12" "0,1" newline bitfld.long 0x00 11. "mask_ack_with_err_11,Mask for ack_with_err_11" "0,1" newline bitfld.long 0x00 10. "mask_ack_with_err_10,Mask for ack_with_err_10" "0,1" newline bitfld.long 0x00 9. "mask_ack_with_err_9,Mask for ack_with_err_9" "0,1" newline bitfld.long 0x00 8. "mask_ack_with_err_8,Mask for ack_with_err_8" "0,1" newline bitfld.long 0x00 7. "mask_ack_with_err_7,Mask for ack_with_err_7" "0,1" newline bitfld.long 0x00 6. "mask_ack_with_err_6,Mask for ack_with_err_6" "0,1" newline bitfld.long 0x00 5. "mask_ack_with_err_5,Mask for ack_with_err_5" "0,1" newline bitfld.long 0x00 4. "mask_ack_with_err_4,Mask for ack_with_err_4" "0,1" newline bitfld.long 0x00 3. "mask_ack_with_err_3,Mask for ack_with_err_3" "0,1" newline bitfld.long 0x00 2. "mask_ack_with_err_2,Mask for ack_with_err_2" "0,1" newline bitfld.long 0x00 1. "mask_ack_with_err_1,Mask for ack_with_err_1" "0,1" newline bitfld.long 0x00 0. "mask_ack_with_err_0,Mask for ack_with_err_0" "0,1" group.long 0xC8++0x03 line.long 0x00 "INT_MSK1,This register configures masks for the sources of interrupts that affect the INT_ST1 register" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "mask_dpi_buff_pld_under,Mask for dpi_buff_pld_under" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 15. "reserved_15,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 14. "reserved_14,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 13. "reserved_13,Reserved and read as zero" "0,1" newline bitfld.long 0x00 12. "mask_gen_pld_recev_err,Mask for gen_pld_recev_err" "0,1" newline bitfld.long 0x00 11. "mask_gen_pld_rd_err,Mask for gen_pld_rd_err" "0,1" newline bitfld.long 0x00 10. "mask_gen_pld_send_err,Mask for gen_pld_send_err" "0,1" newline bitfld.long 0x00 9. "mask_gen_pld_wr_err,Mask for gen_pld_wr_err" "0,1" newline bitfld.long 0x00 8. "mask_gen_cmd_wr_err,Mask for gen_cmd_wr_err" "0,1" newline bitfld.long 0x00 7. "mask_dpi_pld_wr_err,Mask for dpi_pld_wr_err" "0,1" newline bitfld.long 0x00 6. "mask_eopt_err,Mask for eopt_err" "0,1" newline bitfld.long 0x00 5. "mask_pkt_size_err,Mask for pkt_size_err" "0,1" newline bitfld.long 0x00 4. "mask_crc_err,Mask for crc_err" "0,1" newline bitfld.long 0x00 3. "mask_ecc_milti_err,Mask for ecc_milti_err" "0,1" newline bitfld.long 0x00 2. "mask_ecc_single_err,Mask for ecc_single_err" "0,1" newline bitfld.long 0x00 1. "mask_to_lp_rx,Mask for to_lp_rx" "0,1" newline bitfld.long 0x00 0. "mask_to_hs_tx,Mask for to_hs_tx" "0,1" group.long 0xCC++0x03 line.long 0x00 "PHY_CAL,This register controls the skew calibration of D-PHY" hexmask.long 0x00 1.--31. 1. "reserved_31_1,Reserved and read as zero" newline bitfld.long 0x00 0. "txskewcalhs,High-speed skew calibration is started when txskewcalhs is set high (assuming that PHY is in Stop state)" "0,1" group.long 0xD8++0x03 line.long 0x00 "INT_FORCE0,This register forces that affect the INT_ST0 register" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline bitfld.long 0x00 20. "force_dphy_errors_4,Force dphy_errors_4" "0,1" newline bitfld.long 0x00 19. "force_dphy_errors_3,Force dphy_errors_3" "0,1" newline bitfld.long 0x00 18. "force_dphy_errors_2,Force dphy_errors_2" "0,1" newline bitfld.long 0x00 17. "force_dphy_errors_1,Force dphy_errors_1" "0,1" newline bitfld.long 0x00 16. "force_dphy_errors_0,Force dphy_errors_0" "0,1" newline bitfld.long 0x00 15. "force_ack_with_err_15,Force ack_with_err_15" "0,1" newline bitfld.long 0x00 14. "force_ack_with_err_14,Force ack_with_err_14" "0,1" newline bitfld.long 0x00 13. "force_ack_with_err_13,Force ack_with_err_13" "0,1" newline bitfld.long 0x00 12. "force_ack_with_err_12,Force ack_with_err_12" "0,1" newline bitfld.long 0x00 11. "force_ack_with_err_11,Force ack_with_err_11" "0,1" newline bitfld.long 0x00 10. "force_ack_with_err_10,Force ack_with_err_10" "0,1" newline bitfld.long 0x00 9. "force_ack_with_err_9,Force ack_with_err_9" "0,1" newline bitfld.long 0x00 8. "force_ack_with_err_8,Force ack_with_err_8" "0,1" newline bitfld.long 0x00 7. "force_ack_with_err_7,Force ack_with_err_7" "0,1" newline bitfld.long 0x00 6. "force_ack_with_err_6,Force ack_with_err_6" "0,1" newline bitfld.long 0x00 5. "force_ack_with_err_5,Force ack_with_err_5" "0,1" newline bitfld.long 0x00 4. "force_ack_with_err_4,Force ack_with_err_4" "0,1" newline bitfld.long 0x00 3. "force_ack_with_err_3,Force ack_with_err_3" "0,1" newline bitfld.long 0x00 2. "force_ack_with_err_2,Force ack_with_err_2" "0,1" newline bitfld.long 0x00 1. "force_ack_with_err_1,Force ack_with_err_1" "0,1" newline bitfld.long 0x00 0. "force_ack_with_err_0,Force ack_with_err_0" "0,1" group.long 0xDC++0x03 line.long 0x00 "INT_FORCE1,This register forces interrupts that affect the INT_ST1 register" hexmask.long.word 0x00 21.--31. 1. "reserved_31_21,Reserved and read as zero" newline rbitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 19. "force_dpi_buff_pld_under,Force for dpi_buff_pld_under" "0,1" newline rbitfld.long 0x00 18. "reserved_18,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 17. "reserved_17,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 16. "reserved_16,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 15. "reserved_15,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 14. "reserved_14,Reserved and read as zero" "0,1" newline rbitfld.long 0x00 13. "reserved_13,Reserved and read as zero" "0,1" newline bitfld.long 0x00 12. "force_gen_pld_recev_err,Force gen_pld_recev_err" "0,1" newline bitfld.long 0x00 11. "force_gen_pld_rd_err,Force gen_pld_rd_err" "0,1" newline bitfld.long 0x00 10. "force_gen_pld_send_err,Force gen_pld_send_err" "0,1" newline bitfld.long 0x00 9. "force_gen_pld_wr_err,Force gen_pld_wr_err" "0,1" newline bitfld.long 0x00 8. "force_gen_cmd_wr_err,Force gen_cmd_wr_err" "0,1" newline bitfld.long 0x00 7. "force_dpi_pld_wr_err,Force dpi_pld_wr_err" "0,1" newline bitfld.long 0x00 6. "force_eopt_err,Force eopt_err" "0,1" newline bitfld.long 0x00 5. "force_pkt_size_err,Force pkt_size_err" "0,1" newline bitfld.long 0x00 4. "force_crc_err,Force crc_err" "0,1" newline bitfld.long 0x00 3. "force_ecc_milti_err,Force ecc_milti_err" "0,1" newline bitfld.long 0x00 2. "force_ecc_single_err,Force ecc_single_err" "0,1" newline bitfld.long 0x00 1. "force_to_lp_rx,Force to_lp_rx" "0,1" newline bitfld.long 0x00 0. "force_to_hs_tx,Force to_hs_tx" "0,1" group.long 0xF0++0x03 line.long 0x00 "DSC_PARAMETER,This register configures Display Stream Compression" hexmask.long.word 0x00 18.--31. 1. "reserved_31_18,Reserved and read as zero" newline bitfld.long 0x00 16.--17. "pps_sel,This field indicates the PPS selector" "0: PPS Table 1,1: PPS Table 2,2: PPS Table 3,3: PPS Table 4" newline rbitfld.long 0x00 10.--15. "reserved_15_10,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--9. "compress_algo,This field indicates the algorithm identifier" "0: VESA DSC Standard 1.1,?,?,3: vendor-specific algorithm" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "compression_mode,When set to 1 this bit enables the compression mode" "0,1" group.long 0xF4++0x03 line.long 0x00 "PHY_TMR_RD_CFG,This register configures times related to PHY to perform some operations in lane byte clock cycles" hexmask.long.tbyte 0x00 15.--31. 1. "reserved_31_15,Reserved and read as zero" newline hexmask.long.word 0x00 0.--14. 1. "max_rd_time,This field configures the maximum time required to perform a read command in lane byte clock cycles" group.long 0x100++0x03 line.long 0x00 "VID_SHADOW_CTRL,This register controls dpi shadow feature" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "vid_shadow_pin_req,When set to 1 the video request is done by external pin" "0,1" newline hexmask.long.byte 0x00 9.--15. 1. "reserved_15_9,Reserved and read as zero" newline bitfld.long 0x00 8. "vid_shadow_req,When set to 1 this bit request that the dpi registers from regbank are copied to the auxiliary registers" "0,1" newline hexmask.long.byte 0x00 1.--7. 1. "reserved_7_1,Reserved and read as zero" newline bitfld.long 0x00 0. "vid_shadow_en,When set to 1 DPI receives the active configuration from the auxiliary registers" "0,1" rgroup.long 0x10C++0x03 line.long 0x00 "DPI_VCID_ACT,This register holds the value that controller is using for DPI_VCID" hexmask.long 0x00 2.--31. 1. "reserved_31_2,Reserved and read as zero" newline bitfld.long 0x00 0.--1. "dpi_vcid,This field specifies the DPI virtual channel id that is indexed to the Video mode packets" "0,1,2,3" rgroup.long 0x110++0x03 line.long 0x00 "DPI_COLOR_CODING_ACT,This register holds the value that controller is using for DPI_COLOR_CODING" hexmask.long.tbyte 0x00 9.--31. 1. "reserved_31_9,Reserved and read as zero" newline bitfld.long 0x00 8. "loosely18_en,When 1 this bit activates loosely packed variant to 18-bit configurations" "0,1" newline bitfld.long 0x00 4.--7. "reserved_7_4,Reserved and read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "dpi_color_coding,This field configures the DPI color for Video Mode/eDPI Command Mode coding as follows" "0: 16-bit configuration 1,1: 16-bit configuration 2,2: 16-bit configuration 3,3: 18-bit configuration 1,4: 18-bit configuration 2,5: 24-bit,6: 20-bit YCbCr 4:2:2 loosely packed / Reserved..,7: 24-bit YCbCr 4:2:2 / Reserved for eDPI..,8: 16-bit YCbCr 4:2:2 / Reserved for eDPI..,9: 30-bit - DSC_ENC 10bit / Reserved for eDPI..,10: 36-bit / Reserved for eDPI Command Mode,11: 12-bit YCbCr 4:2:0 / Reserved for eDPI..,12: DSC24 compressed Data,?..." rgroup.long 0x118++0x03 line.long 0x00 "DPI_LP_CMD_TIM_ACT,This register holds the value that controller is using for DPI_LP_CMD_TIM" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline hexmask.long.byte 0x00 16.--23. 1. "outvact_lpcmd_time,This field is used for the transmission of commands in low-power mode" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline hexmask.long.byte 0x00 0.--7. 1. "invact_lpcmd_time,This field is used for the transmission of commands in low-power mode" rgroup.long 0x138++0x03 line.long 0x00 "VID_MODE_CFG_ACT,This register holds the value that controller is using for VID_MODE_CFG" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline bitfld.long 0x00 9. "lp_cmd_en,When 1 this bit enables the command transmission only in low-power mode" "0,1" newline bitfld.long 0x00 8. "frame_bta_ack_en,When 1 this bit enables the request for an acknowledge response at the end of a frame" "0,1" newline bitfld.long 0x00 7. "lp_hfp_en,When 1 this bit enables the return to low-power inside the HFP period when timing allows" "0,1" newline bitfld.long 0x00 6. "lp_hbp_en,When 1 this bit enables the return to low-power inside the HBP period when timing allows" "0,1" newline bitfld.long 0x00 5. "lp_vact_en,When 1 this bit enables the return to low-power inside the VACT period when timing allows" "0,1" newline bitfld.long 0x00 4. "lp_vfp_en,When 1 this bit enables the return to low-power inside the VFP period when timing allows" "0,1" newline bitfld.long 0x00 3. "lp_vbp_en,When 1 this bit enables the return to low-power inside the VBP period when timing allows" "0,1" newline bitfld.long 0x00 2. "lp_vsa_en,When 1 this bit enables the return to low-power inside the VSA period when timing allows" "0,1" newline bitfld.long 0x00 0.--1. "vid_mode_type,This field specifies the video mode transmission type as follows" "0: Non-burst with sync pulses,1: Non-burst with sync events,2: Burst mode,3: Burst mode" rgroup.long 0x13C++0x03 line.long 0x00 "VID_PKT_SIZE_ACT,This register holds the value that controller is using for VID_PKT_SIZE" hexmask.long.tbyte 0x00 14.--31. 1. "reserved_31_14,Reserved and read as zero" newline hexmask.long.word 0x00 0.--13. 1. "vid_pkt_size,This field specifies the number of pixels in a single video packet" rgroup.long 0x140++0x03 line.long 0x00 "VID_NUM_CHUNKS_ACT,This register holds the value that controller is using for VID_NUM_CHUNKS" hexmask.long.tbyte 0x00 13.--31. 1. "reserved_31_13,Reserved and read as zero" newline hexmask.long.word 0x00 0.--12. 1. "vid_num_chunks,This register specifies the number of chunks to be transmitted during a Line period (a chunk is pair made of a video packet and a null packet)" rgroup.long 0x144++0x03 line.long 0x00 "VID_NULL_SIZE_ACT,This register holds the value that controller is using for VID_NULL_SIZE" hexmask.long.tbyte 0x00 13.--31. 1. "reserved_31_13,Reserved and read as zero" newline hexmask.long.word 0x00 0.--12. 1. "vid_null_size,This register specifies the number of bytes inside a null packet" rgroup.long 0x148++0x03 line.long 0x00 "VID_HSA_TIME_ACT,This register holds the value that controller is using for VID_HSA_TIME" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "vid_hsa_time,This field specifies the Horizontal Synchronism Active period in lane byte clock cycles" rgroup.long 0x14C++0x03 line.long 0x00 "VID_HBP_TIME_ACT,This register holds the value that controller is using for VID_HBP_TIME" hexmask.long.tbyte 0x00 12.--31. 1. "reserved_31_12,Reserved and read as zero" newline hexmask.long.word 0x00 0.--11. 1. "vid_hbp_time,This field specifies the Horizontal Back Porch period in lane byte clock cycles" rgroup.long 0x150++0x03 line.long 0x00 "VID_HLINE_TIME_ACT,This register holds the value that controller is using for VID_HLINE_TIME" hexmask.long.tbyte 0x00 15.--31. 1. "reserved_31_15,Reserved and read as zero" newline hexmask.long.word 0x00 0.--14. 1. "vid_hline_time,This field specifies the size of the total line time (HSA+HBP+HACT+HFP) counted in lane byte clock cycles" rgroup.long 0x154++0x03 line.long 0x00 "VID_VSA_LINES_ACT,This register holds the value that controller is using for VID_VSA_LINES" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vsa_lines,This field specifies the Vertical Synchronism Active period measured in number of horizontal lines" rgroup.long 0x158++0x03 line.long 0x00 "VID_VBP_LINES_ACT,This register holds the value that controller is using for VID_VBP_LINES" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vbp_lines,This field specifies the Vertical Back Porch period measured in number of horizontal lines" rgroup.long 0x15C++0x03 line.long 0x00 "VID_VFP_LINES_ACT,This register holds the value that controller is using for VID_VFP_LINES" hexmask.long.tbyte 0x00 10.--31. 1. "reserved_31_10,Reserved and read as zero" newline hexmask.long.word 0x00 0.--9. 1. "vfp_lines,This field specifies the Vertical Front Porch period measured in number of horizontal lines" rgroup.long 0x160++0x03 line.long 0x00 "VID_VACTIVE_LINES_ACT,This register holds the value that controller is using for VID_VACTIVE_LINES" hexmask.long.tbyte 0x00 14.--31. 1. "reserved_31_14,Reserved and read as zero" newline hexmask.long.word 0x00 0.--13. 1. "v_active_lines,This field specifies the Vertical Active period measured in number of horizontal lines" rgroup.long 0x168++0x03 line.long 0x00 "VID_PKT_STATUS,This register configures contains information about the status of FIFOs related to DPI and eDPI interfaces" hexmask.long.byte 0x00 24.--31. 1. "reserved_31_24,Reserved and read as zero" newline bitfld.long 0x00 23. "reserved_23,Reserved and read as zero" "0,1" newline bitfld.long 0x00 22. "reserved_22,Reserved and read as zero" "0,1" newline bitfld.long 0x00 21. "reserved_21,Reserved and read as zero" "0,1" newline bitfld.long 0x00 20. "reserved_20,Reserved and read as zero" "0,1" newline bitfld.long 0x00 18.--19. "reserved_19_18,Reserved and read as zero" "0,1,2,3" newline bitfld.long 0x00 17. "dpi_buff_pld_full,This bit indicates the full status of the payload internal buffer for video Mode" "0,1" newline bitfld.long 0x00 16. "dpi_buff_pld_empty,This bit indicates the empty status of the payload internal buffer for video Mode" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "reserved_15_8,Reserved and read as zero" newline bitfld.long 0x00 7. "reserved_7,Reserved and read as zero" "0,1" newline bitfld.long 0x00 6. "reserved_6,Reserved and read as zero" "0,1" newline bitfld.long 0x00 5. "reserved_5,Reserved and read as zero" "0,1" newline bitfld.long 0x00 4. "reserved_4,Reserved and read as zero" "0,1" newline bitfld.long 0x00 3. "dpi_pld_w_full,This bit indicates the full status of write payload FIFO for video Mode" "0,1" newline bitfld.long 0x00 2. "dpi_pld_w_empty,This bit indicates the empty status of write payload FIFO for video Mode" "0,1" newline bitfld.long 0x00 1. "dpi_cmd_w_full,This bit indicates the full status of write command FIFO for video Mode" "0,1" newline bitfld.long 0x00 0. "dpi_cmd_w_empty,This bit indicates the empty status of write command FIFO for video Mode" "0,1" rgroup.long 0x190++0x03 line.long 0x00 "SDF_3D_ACT,This register holds the value that controller is using for SDF_3D" hexmask.long.word 0x00 17.--31. 1. "reserved_31_17,Reserved and read as zero" newline bitfld.long 0x00 16. "send_3d_cfg,When set causes the next VSS packet to include 3D control payload in every VSS packet" "0,1" newline hexmask.long.word 0x00 6.--15. 1. "reserved_15_6,Reserved and read as zero" newline bitfld.long 0x00 5. "right_first,This bit specifies the left/right order" "0: left eye is sent first then right eye,1: right eye data is sent first then left eye" newline bitfld.long 0x00 4. "second_vsync,This field specifies whether there is a second VSYNC pulse between Left and Right Images when 3D Image Format is Frame-based" "0: No sync pulses between left and right data,1: Sync pulse (HSYNC VSYNC blanking) between.." newline bitfld.long 0x00 2.--3. "format_3d,This field specifies 3D Image Format" "0: Alternating lines of left and right data,1: Alternating frames of left and right data,2: Alternating pixels of left and right data,?..." newline bitfld.long 0x00 0.--1. "mode_3d,This field specifies 3D Mode On/Off and Display Orientation" "0: 3D Mode Off 2D Mode On,1: 3D Mode On Portrait Orientation,2: 3D Mode On Landscape Orientation,?..." tree.end tree "MP (DMA MP)" base ad:0x44000000 group.long 0x00++0x03 line.long 0x00 "MP_CSR,Management Page Control" rbitfld.long 0x00 31. "ACTIVE,DMA Active Status" "0: eDMA is idle,1: eDMA is executing a channel" rbitfld.long 0x00 24.--28. "ACTIVE_ID,Active Channel ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 9. "CX,Cancel Transfer" "0: NORMAL_OPERATION,1: Cancel the remaining data transfer" bitfld.long 0x00 8. "ECX,Cancel Transfer With Error" "0: NORMAL_OPERATION,1: Cancel the remaining data transfer" newline bitfld.long 0x00 7. "GMRC,Global Master ID Replication Control" "0: Master ID replication disabled for all channels,1: Master ID replication available and.." bitfld.long 0x00 6. "GCLC,Global Channel Linking Control" "0: Channel linking disabled for all channels,1: Channel linking available and controlled by.." newline bitfld.long 0x00 5. "HALT,Halt DMA Operations" "0: NORMAL_OPERATION,1: Stall the start of any new channels" bitfld.long 0x00 4. "HAE,Halt After Error" "0: NORMAL_OPERATION,1: Any error causes the HALT field to be set to 1" newline bitfld.long 0x00 2. "ERCA,Enable Round Robin Channel Arbitration" "0: Round-robin channel arbitration disabled,1: Round-robin channel arbitration enabled" bitfld.long 0x00 1. "EDBG,Enable Debug" "0: Debug mode disabled,1: Debug mode is enabled" rgroup.long 0x04++0x03 line.long 0x00 "MP_ES,Management Page Error Status" bitfld.long 0x00 31. "VLD,Valid" "0: No ERR fields are set to 1,1: At least one ERR field is set to 1 indicating.." bitfld.long 0x00 24.--28. "ERRCHN,Error Channel Number or Canceled Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "ECX,Transfer Canceled" "0: NO_CANCELED_TRANSFERS,1: Last recorded entry was a canceled transfer.." bitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline bitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." bitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline bitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." bitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: The last recorded error was NBYTES equal to.." newline bitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." bitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was a bus error on a source" newline bitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was a bus error on a.." rgroup.long 0x08++0x03 line.long 0x00 "MP_INT,Management Page Interrupt Request Status" hexmask.long 0x00 0.--30. 1. "INT,Interrupt Request Status" rgroup.long 0x0C++0x03 line.long 0x00 "MP_HRS,Management Page Hardware Request Status" hexmask.long 0x00 0.--31. 1. "HRS,Hardware Request Status" repeat 31. (increment 0 1) (increment 0 0x04) group.long ($2+0x100)++0x03 line.long 0x00 "CH_GRPRI[$1],Channel Arbitration Group $1" bitfld.long 0x00 0.--4. "GRPRI,Arbitration Group For Channel n" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end tree "MU" sif (CORENAME()=="CORTEXA55") tree "MU1_MUB" base ad:0x44230000 rgroup.long 0x00++0x03 line.long 0x00 "VER,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Set Number" rgroup.long 0x04++0x03 line.long 0x00 "PAR,Parameter Register" hexmask.long.byte 0x00 24.--31. 1. "FLAG_WIDTH,Flag Width" hexmask.long.byte 0x00 16.--23. 1. "GIR_NUM,General Interrupt Request Number" newline hexmask.long.byte 0x00 8.--15. 1. "RR_NUM,RR Number" hexmask.long.byte 0x00 0.--7. 1. "TR_NUM,Transmit Register Number" group.long 0x08++0x03 line.long 0x00 "CR,Control Register" bitfld.long 0x00 1. "MURIE,MUB Reset Interrupt Enable" "0: Disables Processor B-side MU Reset Interrupt..,1: Enables Processor B-side MU Reset Interrupt.." bitfld.long 0x00 0. "MUR,MU Reset" "0: Self clearing bit,1: Asserts the MU reset" group.long 0x0C++0x03 line.long 0x00 "SR,Status Register" rbitfld.long 0x00 7. "CEP,Processor A Event Pending Flag" "0: No core operation mode entry event pending,1: Any core operation mode entry event pending" rbitfld.long 0x00 6. "RFP,MUB Receive Full Pending Flag" "0: No TRn register is written by MUA,1: Any TRn register is written by MUA" newline rbitfld.long 0x00 5. "TEP,MUB Transmit Empty Pending" "0: RRn register is not read by MUA,1: Any RRn register is read by MUA" rbitfld.long 0x00 4. "GIRP,MUB General Interrupt Pending" "0: No general interrupt request is sent from MUA,1: Any general interrupt request is sent from MUA" newline rbitfld.long 0x00 3. "FUP,MUB Flags Update Pending" "0: No pending update flags(initiated by MUB) are..,1: Pending update flags(initiated by MUB) are in.." rbitfld.long 0x00 2. "EP,MUB Side Event Pending" "0: The MUB side event is not pending,1: The MUB side event is pending" newline eventfld.long 0x00 1. "MURIP,MU Reset Interrupt Pending" "0: Processor A did not issue MU reset,1: Processor A issued MU reset" rbitfld.long 0x00 0. "MURS,MUA and MUB Reset State" "0: MUA and MUB are out of reset,1: MUA or MUB is in reset state" group.long 0x10++0x03 line.long 0x00 "CCR0,Core Control Register 0" bitfld.long 0x00 5.--6. "BOOT,Slave Processor A Boot Config" "0: Processor Boot option 0,1: Processor Boot option 1,2: Processor Boot option 2,3: Processor Boot option 3" bitfld.long 0x00 4. "RSTH,Processor A Reset Hold" "0: Release Processor A from reset,1: Hold Processor A in reset" newline bitfld.long 0x00 3. "CLKE,Processor A clock enable" "0: Processor A platform clock is gated when..,1: Processor A platform clock is kept running.." bitfld.long 0x00 2. "HRM,Processor B Hardware Reset Mask" "0: The MUA_CCR0[HR] bit is not masked and the..,1: The MUA_CCR0[HR] bit is masked and the.." newline bitfld.long 0x00 1. "HR,Processor A Hardware Reset" "0: De-assert Hardware reset to the Processor A,1: Assert Hardware reset to the Processor A" bitfld.long 0x00 0. "NMI,MUA Non-maskable Interrupt Request" "0: Non-maskable interrupt is not issued to the..,1: Non-maskable interrupt is issued to the.." group.long 0x14++0x03 line.long 0x00 "CIER0,Core Interrupt Enable Register 0" bitfld.long 0x00 7. "PDIE,Processor A Power-Down Mode Entry Interrupt Enable" "0: Disables Processor B Power-Down Mode Entry..,1: Enables Processor B Power-Down Mode Entry.." bitfld.long 0x00 6. "STOPIE,Processor A Stop Mode Entry Interrupt Enable" "0: Disables Processor B Stop Mode Entry..,1: Enables Processor B Stop Mode Entry Interrupt.." newline bitfld.long 0x00 5. "WAITIE,Processor A Wait Mode Entry Interrupt Enable" "0: Disables Processor B Wait Mode Entry..,1: Enables Processor B Wait Mode Entry Interrupt.." bitfld.long 0x00 4. "HALTIE,Processor A Halt Mode Entry Interrupt Enable" "0: Disables Processor B Halt Mode Entry..,1: Enables Processor B Halt Mode Entry Interrupt.." newline bitfld.long 0x00 3. "RAIE,Processor A Reset Assertion Interrupt Enable" "0: Disables Processor B Reset Assertion..,1: Enables Processor B Reset Assertion Interrupt.." bitfld.long 0x00 2. "RUNIE,Processor A Run Mode Entry Interrupt Enable" "0: Disables Processor B Run Mode Entry Interrupt..,1: Enables Processor B Run Mode Entry Interrupt.." newline bitfld.long 0x00 1. "HRIE,Processor B Hardware Reset Interrupt Enable" "0: Disables Processor B Hardware Reset Interrupt..,1: Enables Processor B Hardware Reset Interrupt.." group.long 0x18++0x03 line.long 0x00 "CSSR0,Core Sticky Status Register 0" eventfld.long 0x00 7. "PD,Processor A Power-Down Mode Entry Interrupt Pending" "0: Processor A did not enter Power-Down Mode,1: Processor A entered Power-Down Mode" eventfld.long 0x00 6. "STOP,Processor A Stop Mode Entry Interrupt Pending" "0: Processor A did not enter Stop Mode,1: Processor A entered Stop Mode" newline eventfld.long 0x00 5. "WAIT,Processor A Wait Mode Entry Interrupt Pending" "0: Processor A did not enter Wait Mode,1: Processor A entered Wait Mode" eventfld.long 0x00 4. "HALT,Processor A Halt Mode Entry Interrupt Pending" "0: Processor A did not enter Halt Mode,1: Processor A entered Halt Mode" newline eventfld.long 0x00 3. "RAIP,Processor A Reset Asserted Interrupt Pending" "0: Processor A did not enter reset,1: Processor A entered reset" eventfld.long 0x00 2. "RUN,Processor A Run Mode Entry Interrupt Pending" "0: Processor A did not enter Run Mode,1: Processor A entered Run Mode" newline eventfld.long 0x00 1. "HRIP,Processor B Hardware Reset Interrupt Pending" "0: MUA didn't issue hardware reset to Processor B,1: MUA had initiated a hardware reset to.." eventfld.long 0x00 0. "NMIC,Processor B Non-Maskable-Interrupt Clear" "0: Default,1: Writing 1 clears the MUA_CCR0[NMI] bit" rgroup.long 0x1C++0x03 line.long 0x00 "CSR0,Core Status Register 0" bitfld.long 0x00 7. "PD,Processor A Power-Down Mode Entry" "0: Processor A did not enter Power-Down Mode,1: Processor A entered Power-Down Mode" bitfld.long 0x00 6. "STOP,Processor A Stop Mode Entry" "0: Processor A did not enter Stop Mode,1: Processor A entered Stop Mode" newline bitfld.long 0x00 5. "WAIT,Processor A Wait Mode Entry" "0: Processor A did not enter Wait Mode,1: Processor A entered Wait Mode" bitfld.long 0x00 4. "HALT,Processor A Halt Mode Entry" "0: Processor A did not enter Halt Mode,1: Processor A entered Halt Mode" newline bitfld.long 0x00 3. "RAIP,Processor A Reset Asserted Interrupt Pending" "0: Processor A did not enter reset,1: Processor A entered reset" bitfld.long 0x00 2. "RUN,Processor A Run Mode Entry" "0: Processor A did not enter Run Mode,1: Processor A entered Run Mode" newline bitfld.long 0x00 1. "HRIP,Processor B Hardware Reset Interrupt Pending" "0: MUA didn't issue hardware reset to Processor B,1: MUA had initiated a hardware reset to.." group.long 0x100++0x03 line.long 0x00 "FCR,Flag Control Register" bitfld.long 0x00 2. "F2,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" bitfld.long 0x00 1. "F1,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" newline bitfld.long 0x00 0. "F0,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" rgroup.long 0x104++0x03 line.long 0x00 "FSR,Flag Status Register" bitfld.long 0x00 2. "F2,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" bitfld.long 0x00 1. "F1,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" newline bitfld.long 0x00 0. "F0,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" group.long 0x110++0x03 line.long 0x00 "GIER,General Interrupt Enable Register" bitfld.long 0x00 3. "GIE3,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" bitfld.long 0x00 2. "GIE2,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" newline bitfld.long 0x00 1. "GIE1,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" bitfld.long 0x00 0. "GIE0,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" group.long 0x114++0x03 line.long 0x00 "GCR,General Control Register" bitfld.long 0x00 3. "GIR3,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" bitfld.long 0x00 2. "GIR2,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" newline bitfld.long 0x00 1. "GIR1,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" bitfld.long 0x00 0. "GIR0,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" group.long 0x118++0x03 line.long 0x00 "GSR,General Status Register" eventfld.long 0x00 3. "GIP3,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" eventfld.long 0x00 2. "GIP2,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" newline eventfld.long 0x00 1. "GIP1,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" eventfld.long 0x00 0. "GIP0,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" group.long 0x120++0x03 line.long 0x00 "TCR,Transmit Control Register" bitfld.long 0x00 3. "TIE3,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" bitfld.long 0x00 2. "TIE2,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" newline bitfld.long 0x00 1. "TIE1,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" bitfld.long 0x00 0. "TIE0,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" rgroup.long 0x124++0x03 line.long 0x00 "TSR,Transmit Status Register" bitfld.long 0x00 3. "TE3,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" bitfld.long 0x00 2. "TE2,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" newline bitfld.long 0x00 1. "TE1,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" bitfld.long 0x00 0. "TE0,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" group.long 0x128++0x03 line.long 0x00 "RCR,Receive Control Register" bitfld.long 0x00 3. "RIE3,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" bitfld.long 0x00 2. "RIE2,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" newline bitfld.long 0x00 1. "RIE1,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" bitfld.long 0x00 0. "RIE0,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" rgroup.long 0x12C++0x03 line.long 0x00 "RSR,Receive Status Register" bitfld.long 0x00 3. "RF3,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." bitfld.long 0x00 2. "RF2,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." newline bitfld.long 0x00 1. "RF1,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." bitfld.long 0x00 0. "RF0,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." repeat 4. (increment 0 1) (increment 0 0x04) group.long ($2+0x200)++0x03 line.long 0x00 "TR[$1],Transmit Register $1" hexmask.long 0x00 0.--31. 1. "TR_DATA,MUB Transmit Data" repeat.end repeat 4. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x280)++0x03 line.long 0x00 "RR[$1],Receive Register $1" hexmask.long 0x00 0.--31. 1. "RR_DATA,MUB Receive Data" repeat.end tree.end endif sif (CORENAME()=="CORTEXM33F") tree "MU1_MUA" base ad:0x44220000 rgroup.long 0x00++0x03 line.long 0x00 "VER,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Set Number" rgroup.long 0x04++0x03 line.long 0x00 "PAR,Parameter Register" hexmask.long.byte 0x00 24.--31. 1. "FLAG_WIDTH,Flag Width" hexmask.long.byte 0x00 16.--23. 1. "GIR_NUM,General Interrupt Request Number" newline hexmask.long.byte 0x00 8.--15. 1. "RR_NUM,RR Number" hexmask.long.byte 0x00 0.--7. 1. "TR_NUM,Transmit Register Number" group.long 0x08++0x03 line.long 0x00 "CR,Control Register" bitfld.long 0x00 1. "MURIE,MUA Reset Interrupt Enable" "0: Disables Processor A-side MU Reset Interrupt..,1: Enables Processor A-side MU Reset Interrupt.." bitfld.long 0x00 0. "MUR,MU Reset" "0: Self clearing bit,1: Asserts the MU reset" group.long 0x0C++0x03 line.long 0x00 "SR,Status Register" rbitfld.long 0x00 7. "CEP,Processor B Event Pending Flag" "0: No core operation mode entry event pending,1: Any core operation mode entry event pending" rbitfld.long 0x00 6. "RFP,MUA Receive Full Pending Flag" "0: No TRn register is written by MUB,1: Any TRn register is written by MUB" newline rbitfld.long 0x00 5. "TEP,MUA Transmit Empty Pending" "0: RRn register is not read by MUB,1: Any RRn register is read by MUB" rbitfld.long 0x00 4. "GIRP,MUA General Interrupt Pending" "0: No general interrupt request is sent from MUB,1: Any general interrupt request is sent from MUB" newline rbitfld.long 0x00 3. "FUP,MUA Flags Update Pending" "0: No pending update flags(initiated by MUA) are..,1: Pending update flags(initiated by MUA) are in.." rbitfld.long 0x00 2. "EP,MUA Side Event Pending" "0: The MUA side event is not pending,1: The MUA side event is pending" newline eventfld.long 0x00 1. "MURIP,MU Reset Interrupt Pending" "0: Processor B did not issue MU reset,1: Processor B issued MU reset" rbitfld.long 0x00 0. "MURS,MUA and MUB Reset State" "0: MUA and MUB are out of reset,1: MUA or MUB is in reset state" group.long 0x10++0x03 line.long 0x00 "CCR0,Core Control Register 0" bitfld.long 0x00 5.--6. "BOOT,Slave Processor B Boot Config" "0: Processor Boot option 0,1: Processor Boot option 1,2: Processor Boot option 2,3: Processor Boot option 3" bitfld.long 0x00 4. "RSTH,Processor B Reset Hold" "0: Release Processor B from reset,1: Hold Processor B in reset" newline bitfld.long 0x00 3. "CLKE,Processor B clock enable" "0: Processor B platform clock is gated when..,1: Processor B platform clock is kept running.." bitfld.long 0x00 2. "HRM,Processor A Hardware Reset Mask" "0: The MUB_CCR0[HR] bit is not masked and the..,1: The MUB_CCR0[HR] bit is masked and the.." newline bitfld.long 0x00 1. "HR,Processor B Hardware Reset" "0: De-assert Hardware reset to the Processor B,1: Assert Hardware reset to the Processor B" bitfld.long 0x00 0. "NMI,MUB Non-maskable Interrupt Request" "0: Non-maskable interrupt is not issued to the..,1: Non-maskable interrupt is issued to the.." group.long 0x14++0x03 line.long 0x00 "CIER0,Core Interrupt Enable Register 0" bitfld.long 0x00 7. "PDIE,Processor B Power-Down Mode Entry Interrupt Enable" "0: Disables Processor A Power-Down Mode Entry..,1: Enables Processor A Power-Down Mode Entry.." bitfld.long 0x00 6. "STOPIE,Processor B Stop Mode Entry Interrupt Enable" "0: Disables Processor A Stop Mode Entry..,1: Enables Processor A Stop Mode Entry Interrupt.." newline bitfld.long 0x00 5. "WAITIE,Processor B Wait Mode Entry Interrupt Enable" "0: Disables Processor A Wait Mode Entry..,1: Enables Processor A Wait Mode Entry Interrupt.." bitfld.long 0x00 4. "HALTIE,Processor B Halt Mode Entry Interrupt Enable" "0: Disables Processor A Halt Mode Entry..,1: Enables Processor A Halt Mode Entry Interrupt.." newline bitfld.long 0x00 3. "RAIE,Processor B Reset Assertion Interrupt Enable" "0: Disables Processor A Reset Assertion..,1: Enables Processor A Reset Assertion Interrupt.." bitfld.long 0x00 2. "RUNIE,Processor B Run Mode Entry Interrupt Enable" "0: Disables Processor A Run Mode Entry Interrupt..,1: Enables Processor A Run Mode Entry Interrupt.." newline bitfld.long 0x00 1. "HRIE,Processor A Hardware Reset Interrupt Enable" "0: Disables Processor A Hardware Reset Interrupt..,1: Enables Processor A Hardware Reset Interrupt.." group.long 0x18++0x03 line.long 0x00 "CSSR0,Core Sticky Status Register 0" eventfld.long 0x00 7. "PD,Processor B Power-Down Mode Entry Interrupt Pending" "0: Processor B did not enter Power-Down Mode,1: Processor B entered Power-Down Mode" eventfld.long 0x00 6. "STOP,Processor B Stop Mode Entry Interrupt Pending" "0: Processor B did not enter Stop Mode,1: Processor B entered Stop Mode" newline eventfld.long 0x00 5. "WAIT,Processor B Wait Mode Entry Interrupt Pending" "0: Processor B did not enter Wait Mode,1: Processor B entered Wait Mode" eventfld.long 0x00 4. "HALT,Processor B Halt Mode Entry Interrupt Pending" "0: Processor B did not enter Halt Mode,1: Processor B entered Halt Mode" newline eventfld.long 0x00 3. "RAIP,Processor B Reset Asserted Interrupt Pending" "0: Processor B did not enter reset,1: Processor B entered reset" eventfld.long 0x00 2. "RUN,Processor B Run Mode Entry Interrupt Pending" "0: Processor B did not enter Run Mode,1: Processor B entered Run Mode" newline eventfld.long 0x00 1. "HRIP,Processor A Hardware Reset Interrupt Pending" "0: MUB didn't issue hardware reset to Processor A,1: MUB had initiated a hardware reset to.." eventfld.long 0x00 0. "NMIC,Processor A Non-Maskable-Interrupt Clear" "0: Default,1: Writing 1 clears the MUB_CCR0[NMI] bit" rgroup.long 0x1C++0x03 line.long 0x00 "CSR0,Core Status Register 0" bitfld.long 0x00 7. "PD,Processor B Power-Down Mode Entry" "0: Processor B did not enter Power-Down Mode,1: Processor B entered Power-Down Mode" bitfld.long 0x00 6. "STOP,Processor B Stop Mode Entry" "0: Processor B did not enter Stop Mode,1: Processor B entered Stop Mode" newline bitfld.long 0x00 5. "WAIT,Processor B Wait Mode Entry" "0: Processor B did not enter Wait Mode,1: Processor B entered Wait Mode" bitfld.long 0x00 4. "HALT,Processor B Halt Mode Entry" "0: Processor B did not enter Halt Mode,1: Processor B entered Halt Mode" newline bitfld.long 0x00 3. "RAIP,Processor B Reset Asserted Interrupt Pending" "0: Processor B did not enter reset,1: Processor B entered reset" bitfld.long 0x00 2. "RUN,Processor B Run Mode Entry" "0: Processor B did not enter Run Mode,1: Processor B entered Run Mode" newline bitfld.long 0x00 1. "HRIP,Processor A Hardware Reset Interrupt Pending" "0: MUB didn't issue hardware reset to Processor A,1: MUB had initiated a hardware reset to.." group.long 0x100++0x03 line.long 0x00 "FCR,Flag Control Register" bitfld.long 0x00 2. "F2,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" bitfld.long 0x00 1. "F1,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" newline bitfld.long 0x00 0. "F0,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" rgroup.long 0x104++0x03 line.long 0x00 "FSR,Flag Status Register" bitfld.long 0x00 2. "F2,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" bitfld.long 0x00 1. "F1,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" newline bitfld.long 0x00 0. "F0,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" group.long 0x110++0x03 line.long 0x00 "GIER,General Interrupt Enable Register" bitfld.long 0x00 3. "GIE3,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" bitfld.long 0x00 2. "GIE2,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" newline bitfld.long 0x00 1. "GIE1,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" bitfld.long 0x00 0. "GIE0,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" group.long 0x114++0x03 line.long 0x00 "GCR,General Control Register" bitfld.long 0x00 3. "GIR3,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" bitfld.long 0x00 2. "GIR2,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" newline bitfld.long 0x00 1. "GIR1,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" bitfld.long 0x00 0. "GIR0,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" group.long 0x118++0x03 line.long 0x00 "GSR,General Status Register" eventfld.long 0x00 3. "GIP3,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" eventfld.long 0x00 2. "GIP2,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" newline eventfld.long 0x00 1. "GIP1,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" eventfld.long 0x00 0. "GIP0,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" group.long 0x120++0x03 line.long 0x00 "TCR,Transmit Control Register" bitfld.long 0x00 3. "TIE3,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" bitfld.long 0x00 2. "TIE2,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" newline bitfld.long 0x00 1. "TIE1,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" bitfld.long 0x00 0. "TIE0,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" rgroup.long 0x124++0x03 line.long 0x00 "TSR,Transmit Status Register" bitfld.long 0x00 3. "TE3,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" bitfld.long 0x00 2. "TE2,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" newline bitfld.long 0x00 1. "TE1,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" bitfld.long 0x00 0. "TE0,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" group.long 0x128++0x03 line.long 0x00 "RCR,Receive Control Register" bitfld.long 0x00 3. "RIE3,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" bitfld.long 0x00 2. "RIE2,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" newline bitfld.long 0x00 1. "RIE1,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" bitfld.long 0x00 0. "RIE0,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" rgroup.long 0x12C++0x03 line.long 0x00 "RSR,Receive Status Register" bitfld.long 0x00 3. "RF3,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." bitfld.long 0x00 2. "RF2,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." newline bitfld.long 0x00 1. "RF1,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." bitfld.long 0x00 0. "RF0,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." repeat 4. (increment 0 1) (increment 0 0x04) group.long ($2+0x200)++0x03 line.long 0x00 "TR[$1],Transmit Register $1" hexmask.long 0x00 0.--31. 1. "TR_DATA,MUA Transmit Data" repeat.end repeat 4. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x280)++0x03 line.long 0x00 "RR[$1],Receive Register $1" hexmask.long 0x00 0.--31. 1. "RR_DATA,MUA Receive Data" repeat.end tree.end endif sif (CORENAME()=="CORTEXA55") tree "MU2_MUB" base ad:0x42440000 rgroup.long 0x00++0x03 line.long 0x00 "VER,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Set Number" rgroup.long 0x04++0x03 line.long 0x00 "PAR,Parameter Register" hexmask.long.byte 0x00 24.--31. 1. "FLAG_WIDTH,Flag Width" hexmask.long.byte 0x00 16.--23. 1. "GIR_NUM,General Interrupt Request Number" newline hexmask.long.byte 0x00 8.--15. 1. "RR_NUM,RR Number" hexmask.long.byte 0x00 0.--7. 1. "TR_NUM,Transmit Register Number" group.long 0x08++0x03 line.long 0x00 "CR,Control Register" bitfld.long 0x00 1. "MURIE,MUB Reset Interrupt Enable" "0: Disables Processor B-side MU Reset Interrupt..,1: Enables Processor B-side MU Reset Interrupt.." bitfld.long 0x00 0. "MUR,MU Reset" "0: Self clearing bit,1: Asserts the MU reset" group.long 0x0C++0x03 line.long 0x00 "SR,Status Register" rbitfld.long 0x00 7. "CEP,Processor A Event Pending Flag" "0: No core operation mode entry event pending,1: Any core operation mode entry event pending" rbitfld.long 0x00 6. "RFP,MUB Receive Full Pending Flag" "0: No TRn register is written by MUA,1: Any TRn register is written by MUA" newline rbitfld.long 0x00 5. "TEP,MUB Transmit Empty Pending" "0: RRn register is not read by MUA,1: Any RRn register is read by MUA" rbitfld.long 0x00 4. "GIRP,MUB General Interrupt Pending" "0: No general interrupt request is sent from MUA,1: Any general interrupt request is sent from MUA" newline rbitfld.long 0x00 3. "FUP,MUB Flags Update Pending" "0: No pending update flags(initiated by MUB) are..,1: Pending update flags(initiated by MUB) are in.." rbitfld.long 0x00 2. "EP,MUB Side Event Pending" "0: The MUB side event is not pending,1: The MUB side event is pending" newline eventfld.long 0x00 1. "MURIP,MU Reset Interrupt Pending" "0: Processor A did not issue MU reset,1: Processor A issued MU reset" rbitfld.long 0x00 0. "MURS,MUA and MUB Reset State" "0: MUA and MUB are out of reset,1: MUA or MUB is in reset state" group.long 0x10++0x03 line.long 0x00 "CCR0,Core Control Register 0" bitfld.long 0x00 5.--6. "BOOT,Slave Processor A Boot Config" "0: Processor Boot option 0,1: Processor Boot option 1,2: Processor Boot option 2,3: Processor Boot option 3" bitfld.long 0x00 4. "RSTH,Processor A Reset Hold" "0: Release Processor A from reset,1: Hold Processor A in reset" newline bitfld.long 0x00 3. "CLKE,Processor A clock enable" "0: Processor A platform clock is gated when..,1: Processor A platform clock is kept running.." bitfld.long 0x00 2. "HRM,Processor B Hardware Reset Mask" "0: The MUA_CCR0[HR] bit is not masked and the..,1: The MUA_CCR0[HR] bit is masked and the.." newline bitfld.long 0x00 1. "HR,Processor A Hardware Reset" "0: De-assert Hardware reset to the Processor A,1: Assert Hardware reset to the Processor A" bitfld.long 0x00 0. "NMI,MUA Non-maskable Interrupt Request" "0: Non-maskable interrupt is not issued to the..,1: Non-maskable interrupt is issued to the.." group.long 0x14++0x03 line.long 0x00 "CIER0,Core Interrupt Enable Register 0" bitfld.long 0x00 7. "PDIE,Processor A Power-Down Mode Entry Interrupt Enable" "0: Disables Processor B Power-Down Mode Entry..,1: Enables Processor B Power-Down Mode Entry.." bitfld.long 0x00 6. "STOPIE,Processor A Stop Mode Entry Interrupt Enable" "0: Disables Processor B Stop Mode Entry..,1: Enables Processor B Stop Mode Entry Interrupt.." newline bitfld.long 0x00 5. "WAITIE,Processor A Wait Mode Entry Interrupt Enable" "0: Disables Processor B Wait Mode Entry..,1: Enables Processor B Wait Mode Entry Interrupt.." bitfld.long 0x00 4. "HALTIE,Processor A Halt Mode Entry Interrupt Enable" "0: Disables Processor B Halt Mode Entry..,1: Enables Processor B Halt Mode Entry Interrupt.." newline bitfld.long 0x00 3. "RAIE,Processor A Reset Assertion Interrupt Enable" "0: Disables Processor B Reset Assertion..,1: Enables Processor B Reset Assertion Interrupt.." bitfld.long 0x00 2. "RUNIE,Processor A Run Mode Entry Interrupt Enable" "0: Disables Processor B Run Mode Entry Interrupt..,1: Enables Processor B Run Mode Entry Interrupt.." newline bitfld.long 0x00 1. "HRIE,Processor B Hardware Reset Interrupt Enable" "0: Disables Processor B Hardware Reset Interrupt..,1: Enables Processor B Hardware Reset Interrupt.." group.long 0x18++0x03 line.long 0x00 "CSSR0,Core Sticky Status Register 0" eventfld.long 0x00 7. "PD,Processor A Power-Down Mode Entry Interrupt Pending" "0: Processor A did not enter Power-Down Mode,1: Processor A entered Power-Down Mode" eventfld.long 0x00 6. "STOP,Processor A Stop Mode Entry Interrupt Pending" "0: Processor A did not enter Stop Mode,1: Processor A entered Stop Mode" newline eventfld.long 0x00 5. "WAIT,Processor A Wait Mode Entry Interrupt Pending" "0: Processor A did not enter Wait Mode,1: Processor A entered Wait Mode" eventfld.long 0x00 4. "HALT,Processor A Halt Mode Entry Interrupt Pending" "0: Processor A did not enter Halt Mode,1: Processor A entered Halt Mode" newline eventfld.long 0x00 3. "RAIP,Processor A Reset Asserted Interrupt Pending" "0: Processor A did not enter reset,1: Processor A entered reset" eventfld.long 0x00 2. "RUN,Processor A Run Mode Entry Interrupt Pending" "0: Processor A did not enter Run Mode,1: Processor A entered Run Mode" newline eventfld.long 0x00 1. "HRIP,Processor B Hardware Reset Interrupt Pending" "0: MUA didn't issue hardware reset to Processor B,1: MUA had initiated a hardware reset to.." eventfld.long 0x00 0. "NMIC,Processor B Non-Maskable-Interrupt Clear" "0: Default,1: Writing 1 clears the MUA_CCR0[NMI] bit" rgroup.long 0x1C++0x03 line.long 0x00 "CSR0,Core Status Register 0" bitfld.long 0x00 7. "PD,Processor A Power-Down Mode Entry" "0: Processor A did not enter Power-Down Mode,1: Processor A entered Power-Down Mode" bitfld.long 0x00 6. "STOP,Processor A Stop Mode Entry" "0: Processor A did not enter Stop Mode,1: Processor A entered Stop Mode" newline bitfld.long 0x00 5. "WAIT,Processor A Wait Mode Entry" "0: Processor A did not enter Wait Mode,1: Processor A entered Wait Mode" bitfld.long 0x00 4. "HALT,Processor A Halt Mode Entry" "0: Processor A did not enter Halt Mode,1: Processor A entered Halt Mode" newline bitfld.long 0x00 3. "RAIP,Processor A Reset Asserted Interrupt Pending" "0: Processor A did not enter reset,1: Processor A entered reset" bitfld.long 0x00 2. "RUN,Processor A Run Mode Entry" "0: Processor A did not enter Run Mode,1: Processor A entered Run Mode" newline bitfld.long 0x00 1. "HRIP,Processor B Hardware Reset Interrupt Pending" "0: MUA didn't issue hardware reset to Processor B,1: MUA had initiated a hardware reset to.." group.long 0x100++0x03 line.long 0x00 "FCR,Flag Control Register" bitfld.long 0x00 2. "F2,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" bitfld.long 0x00 1. "F1,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" newline bitfld.long 0x00 0. "F0,MUB to MUA Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" rgroup.long 0x104++0x03 line.long 0x00 "FSR,Flag Status Register" bitfld.long 0x00 2. "F2,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" bitfld.long 0x00 1. "F1,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" newline bitfld.long 0x00 0. "F0,MUA to MUB Side Flag n" "0: Fn bit in the MUA FCR register is written 0,1: Fn bit in the MUA FCR register is written 1" group.long 0x110++0x03 line.long 0x00 "GIER,General Interrupt Enable Register" bitfld.long 0x00 3. "GIE3,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" bitfld.long 0x00 2. "GIE2,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" newline bitfld.long 0x00 1. "GIE1,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" bitfld.long 0x00 0. "GIE0,MUB General Purpose Interrupt Enable n" "0: Disables MUB General Interrupt n,1: Enables MUB General Interrupt n" group.long 0x114++0x03 line.long 0x00 "GCR,General Control Register" bitfld.long 0x00 3. "GIR3,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" bitfld.long 0x00 2. "GIR2,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" newline bitfld.long 0x00 1. "GIR1,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" bitfld.long 0x00 0. "GIR0,MUB General Purpose Interrupt Request n" "0: MUB General Interrupt n is not requested to..,1: MUB General Interrupt n is requested to the MUA" group.long 0x118++0x03 line.long 0x00 "GSR,General Status Register" eventfld.long 0x00 3. "GIP3,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" eventfld.long 0x00 2. "GIP2,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" newline eventfld.long 0x00 1. "GIP1,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" eventfld.long 0x00 0. "GIP0,MUB General Interrupt Request Pending n" "0: MUB general purpose interrupt n is not pending,1: MUB general purpose interrupt n is pending" group.long 0x120++0x03 line.long 0x00 "TCR,Transmit Control Register" bitfld.long 0x00 3. "TIE3,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" bitfld.long 0x00 2. "TIE2,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" newline bitfld.long 0x00 1. "TIE1,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" bitfld.long 0x00 0. "TIE0,MUB Transmit Interrupt Enable n" "0: Disables MUB Transmit Interrupt n,1: Enables MUB Transmit Interrupt n" rgroup.long 0x124++0x03 line.long 0x00 "TSR,Transmit Status Register" bitfld.long 0x00 3. "TE3,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" bitfld.long 0x00 2. "TE2,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" newline bitfld.long 0x00 1. "TE1,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" bitfld.long 0x00 0. "TE0,MUB Transmit Register n Empty" "0: MUB TRn register is not empty,1: MUB TRn register is empty" group.long 0x128++0x03 line.long 0x00 "RCR,Receive Control Register" bitfld.long 0x00 3. "RIE3,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" bitfld.long 0x00 2. "RIE2,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" newline bitfld.long 0x00 1. "RIE1,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" bitfld.long 0x00 0. "RIE0,MUB Receive Interrupt Enable n" "0: Disables MUB Receive Interrupt n,1: Enables MUB Receive Interrupt n" rgroup.long 0x12C++0x03 line.long 0x00 "RSR,Receive Status Register" bitfld.long 0x00 3. "RF3,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." bitfld.long 0x00 2. "RF2,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." newline bitfld.long 0x00 1. "RF1,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." bitfld.long 0x00 0. "RF0,MUB Receive Register n Full" "0: MUB RRn register is not full,1: MUB RRn register has received data from MUA.." repeat 4. (increment 0 1) (increment 0 0x04) group.long ($2+0x200)++0x03 line.long 0x00 "TR[$1],Transmit Register $1" hexmask.long 0x00 0.--31. 1. "TR_DATA,MUB Transmit Data" repeat.end repeat 4. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x280)++0x03 line.long 0x00 "RR[$1],Receive Register $1" hexmask.long 0x00 0.--31. 1. "RR_DATA,MUB Receive Data" repeat.end tree.end endif sif (CORENAME()=="CORTEXM33F") tree "MU2_MUA" base ad:0x42430000 rgroup.long 0x00++0x03 line.long 0x00 "VER,Version ID Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Set Number" rgroup.long 0x04++0x03 line.long 0x00 "PAR,Parameter Register" hexmask.long.byte 0x00 24.--31. 1. "FLAG_WIDTH,Flag Width" hexmask.long.byte 0x00 16.--23. 1. "GIR_NUM,General Interrupt Request Number" newline hexmask.long.byte 0x00 8.--15. 1. "RR_NUM,RR Number" hexmask.long.byte 0x00 0.--7. 1. "TR_NUM,Transmit Register Number" group.long 0x08++0x03 line.long 0x00 "CR,Control Register" bitfld.long 0x00 1. "MURIE,MUA Reset Interrupt Enable" "0: Disables Processor A-side MU Reset Interrupt..,1: Enables Processor A-side MU Reset Interrupt.." bitfld.long 0x00 0. "MUR,MU Reset" "0: Self clearing bit,1: Asserts the MU reset" group.long 0x0C++0x03 line.long 0x00 "SR,Status Register" rbitfld.long 0x00 7. "CEP,Processor B Event Pending Flag" "0: No core operation mode entry event pending,1: Any core operation mode entry event pending" rbitfld.long 0x00 6. "RFP,MUA Receive Full Pending Flag" "0: No TRn register is written by MUB,1: Any TRn register is written by MUB" newline rbitfld.long 0x00 5. "TEP,MUA Transmit Empty Pending" "0: RRn register is not read by MUB,1: Any RRn register is read by MUB" rbitfld.long 0x00 4. "GIRP,MUA General Interrupt Pending" "0: No general interrupt request is sent from MUB,1: Any general interrupt request is sent from MUB" newline rbitfld.long 0x00 3. "FUP,MUA Flags Update Pending" "0: No pending update flags(initiated by MUA) are..,1: Pending update flags(initiated by MUA) are in.." rbitfld.long 0x00 2. "EP,MUA Side Event Pending" "0: The MUA side event is not pending,1: The MUA side event is pending" newline eventfld.long 0x00 1. "MURIP,MU Reset Interrupt Pending" "0: Processor B did not issue MU reset,1: Processor B issued MU reset" rbitfld.long 0x00 0. "MURS,MUA and MUB Reset State" "0: MUA and MUB are out of reset,1: MUA or MUB is in reset state" group.long 0x10++0x03 line.long 0x00 "CCR0,Core Control Register 0" bitfld.long 0x00 5.--6. "BOOT,Slave Processor B Boot Config" "0: Processor Boot option 0,1: Processor Boot option 1,2: Processor Boot option 2,3: Processor Boot option 3" bitfld.long 0x00 4. "RSTH,Processor B Reset Hold" "0: Release Processor B from reset,1: Hold Processor B in reset" newline bitfld.long 0x00 3. "CLKE,Processor B clock enable" "0: Processor B platform clock is gated when..,1: Processor B platform clock is kept running.." bitfld.long 0x00 2. "HRM,Processor A Hardware Reset Mask" "0: The MUB_CCR0[HR] bit is not masked and the..,1: The MUB_CCR0[HR] bit is masked and the.." newline bitfld.long 0x00 1. "HR,Processor B Hardware Reset" "0: De-assert Hardware reset to the Processor B,1: Assert Hardware reset to the Processor B" bitfld.long 0x00 0. "NMI,MUB Non-maskable Interrupt Request" "0: Non-maskable interrupt is not issued to the..,1: Non-maskable interrupt is issued to the.." group.long 0x14++0x03 line.long 0x00 "CIER0,Core Interrupt Enable Register 0" bitfld.long 0x00 7. "PDIE,Processor B Power-Down Mode Entry Interrupt Enable" "0: Disables Processor A Power-Down Mode Entry..,1: Enables Processor A Power-Down Mode Entry.." bitfld.long 0x00 6. "STOPIE,Processor B Stop Mode Entry Interrupt Enable" "0: Disables Processor A Stop Mode Entry..,1: Enables Processor A Stop Mode Entry Interrupt.." newline bitfld.long 0x00 5. "WAITIE,Processor B Wait Mode Entry Interrupt Enable" "0: Disables Processor A Wait Mode Entry..,1: Enables Processor A Wait Mode Entry Interrupt.." bitfld.long 0x00 4. "HALTIE,Processor B Halt Mode Entry Interrupt Enable" "0: Disables Processor A Halt Mode Entry..,1: Enables Processor A Halt Mode Entry Interrupt.." newline bitfld.long 0x00 3. "RAIE,Processor B Reset Assertion Interrupt Enable" "0: Disables Processor A Reset Assertion..,1: Enables Processor A Reset Assertion Interrupt.." bitfld.long 0x00 2. "RUNIE,Processor B Run Mode Entry Interrupt Enable" "0: Disables Processor A Run Mode Entry Interrupt..,1: Enables Processor A Run Mode Entry Interrupt.." newline bitfld.long 0x00 1. "HRIE,Processor A Hardware Reset Interrupt Enable" "0: Disables Processor A Hardware Reset Interrupt..,1: Enables Processor A Hardware Reset Interrupt.." group.long 0x18++0x03 line.long 0x00 "CSSR0,Core Sticky Status Register 0" eventfld.long 0x00 7. "PD,Processor B Power-Down Mode Entry Interrupt Pending" "0: Processor B did not enter Power-Down Mode,1: Processor B entered Power-Down Mode" eventfld.long 0x00 6. "STOP,Processor B Stop Mode Entry Interrupt Pending" "0: Processor B did not enter Stop Mode,1: Processor B entered Stop Mode" newline eventfld.long 0x00 5. "WAIT,Processor B Wait Mode Entry Interrupt Pending" "0: Processor B did not enter Wait Mode,1: Processor B entered Wait Mode" eventfld.long 0x00 4. "HALT,Processor B Halt Mode Entry Interrupt Pending" "0: Processor B did not enter Halt Mode,1: Processor B entered Halt Mode" newline eventfld.long 0x00 3. "RAIP,Processor B Reset Asserted Interrupt Pending" "0: Processor B did not enter reset,1: Processor B entered reset" eventfld.long 0x00 2. "RUN,Processor B Run Mode Entry Interrupt Pending" "0: Processor B did not enter Run Mode,1: Processor B entered Run Mode" newline eventfld.long 0x00 1. "HRIP,Processor A Hardware Reset Interrupt Pending" "0: MUB didn't issue hardware reset to Processor A,1: MUB had initiated a hardware reset to.." eventfld.long 0x00 0. "NMIC,Processor A Non-Maskable-Interrupt Clear" "0: Default,1: Writing 1 clears the MUB_CCR0[NMI] bit" rgroup.long 0x1C++0x03 line.long 0x00 "CSR0,Core Status Register 0" bitfld.long 0x00 7. "PD,Processor B Power-Down Mode Entry" "0: Processor B did not enter Power-Down Mode,1: Processor B entered Power-Down Mode" bitfld.long 0x00 6. "STOP,Processor B Stop Mode Entry" "0: Processor B did not enter Stop Mode,1: Processor B entered Stop Mode" newline bitfld.long 0x00 5. "WAIT,Processor B Wait Mode Entry" "0: Processor B did not enter Wait Mode,1: Processor B entered Wait Mode" bitfld.long 0x00 4. "HALT,Processor B Halt Mode Entry" "0: Processor B did not enter Halt Mode,1: Processor B entered Halt Mode" newline bitfld.long 0x00 3. "RAIP,Processor B Reset Asserted Interrupt Pending" "0: Processor B did not enter reset,1: Processor B entered reset" bitfld.long 0x00 2. "RUN,Processor B Run Mode Entry" "0: Processor B did not enter Run Mode,1: Processor B entered Run Mode" newline bitfld.long 0x00 1. "HRIP,Processor A Hardware Reset Interrupt Pending" "0: MUB didn't issue hardware reset to Processor A,1: MUB had initiated a hardware reset to.." group.long 0x100++0x03 line.long 0x00 "FCR,Flag Control Register" bitfld.long 0x00 2. "F2,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" bitfld.long 0x00 1. "F1,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" newline bitfld.long 0x00 0. "F0,MUA to MUB Flag n" "0: Clears the Fn bit in the FSR register,1: Sets the Fn bit in the FSR register" rgroup.long 0x104++0x03 line.long 0x00 "FSR,Flag Status Register" bitfld.long 0x00 2. "F2,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" bitfld.long 0x00 1. "F1,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" newline bitfld.long 0x00 0. "F0,MUB to MUA Side Flag n" "0: Fn bit in the MUB FCR register is written 0,1: Fn bit in the MUB FCR register is written 1" group.long 0x110++0x03 line.long 0x00 "GIER,General Interrupt Enable Register" bitfld.long 0x00 3. "GIE3,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" bitfld.long 0x00 2. "GIE2,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" newline bitfld.long 0x00 1. "GIE1,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" bitfld.long 0x00 0. "GIE0,MUA General Purpose Interrupt Enable n" "0: Disables MUA General Interrupt n,1: Enables MUA General Interrupt n" group.long 0x114++0x03 line.long 0x00 "GCR,General Control Register" bitfld.long 0x00 3. "GIR3,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" bitfld.long 0x00 2. "GIR2,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" newline bitfld.long 0x00 1. "GIR1,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" bitfld.long 0x00 0. "GIR0,MUA General Purpose Interrupt Request n" "0: MUA General Interrupt n is not requested to..,1: MUA General Interrupt n is requested to the MUB" group.long 0x118++0x03 line.long 0x00 "GSR,General Status Register" eventfld.long 0x00 3. "GIP3,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" eventfld.long 0x00 2. "GIP2,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" newline eventfld.long 0x00 1. "GIP1,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" eventfld.long 0x00 0. "GIP0,MUA General Interrupt Request Pending n" "0: MUA general purpose interrupt n is not pending,1: MUA general purpose interrupt n is pending" group.long 0x120++0x03 line.long 0x00 "TCR,Transmit Control Register" bitfld.long 0x00 3. "TIE3,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" bitfld.long 0x00 2. "TIE2,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" newline bitfld.long 0x00 1. "TIE1,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" bitfld.long 0x00 0. "TIE0,MUA Transmit Interrupt Enable n" "0: Disables MUA Transmit Interrupt n,1: Enables MUA Transmit Interrupt n" rgroup.long 0x124++0x03 line.long 0x00 "TSR,Transmit Status Register" bitfld.long 0x00 3. "TE3,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" bitfld.long 0x00 2. "TE2,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" newline bitfld.long 0x00 1. "TE1,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" bitfld.long 0x00 0. "TE0,MUA Transmit Register n Empty" "0: MUA TRn register is not empty,1: MUA TRn register is empty" group.long 0x128++0x03 line.long 0x00 "RCR,Receive Control Register" bitfld.long 0x00 3. "RIE3,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" bitfld.long 0x00 2. "RIE2,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" newline bitfld.long 0x00 1. "RIE1,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" bitfld.long 0x00 0. "RIE0,MUA Receive Interrupt Enable n" "0: Disables MUA Receive Interrupt n,1: Enables MUA Receive Interrupt n" rgroup.long 0x12C++0x03 line.long 0x00 "RSR,Receive Status Register" bitfld.long 0x00 3. "RF3,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." bitfld.long 0x00 2. "RF2,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." newline bitfld.long 0x00 1. "RF1,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." bitfld.long 0x00 0. "RF0,MUA Receive Register n Full" "0: MUA RRn register is not full,1: MUA RRn register has received data from MUB.." repeat 4. (increment 0 1) (increment 0 0x04) group.long ($2+0x200)++0x03 line.long 0x00 "TR[$1],Transmit Register $1" hexmask.long 0x00 0.--31. 1. "TR_DATA,MUA Transmit Data" repeat.end repeat 4. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x280)++0x03 line.long 0x00 "RR[$1],Receive Register $1" hexmask.long 0x00 0.--31. 1. "RR_DATA,MUA Receive Data" repeat.end tree.end endif tree.end sif cpuis("IMX9321*")||cpuis("IMX9322*")||cpuis("IMX9351*")||cpuis("IMX9352*") tree "NPU" base ad:0x4A900000 rgroup.long 0x00++0x03 line.long 0x00 "ID,ID" bitfld.long 0x00 28.--31. "arch_major_rev,arch_major_rev" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 20.--27. 1. "arch_minor_rev,arch_minor_rev" bitfld.long 0x00 16.--19. "arch_patch_rev,arch_patch_rev" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "product_major,product_major" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "version_major,version_major" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "version_minor,version_minor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "version_status,version_status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x04++0x03 line.long 0x00 "STATUS,STATUS" hexmask.long.word 0x00 16.--31. 1. "irq_history_mask,irq_history_mask" bitfld.long 0x00 12.--15. "faulting_channel,faulting_channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 11. "faulting_interface,faulting_interface" "0,1" bitfld.long 0x00 9.--10. "RES0,Reserved" "0,1,2,3" newline bitfld.long 0x00 8. "ecc_fault,ecc_fault" "0,1" bitfld.long 0x00 7. "wd_fault,wd_fault" "0,1" bitfld.long 0x00 6. "pmu_irq_raised,pmu_irq_raised" "0,1" bitfld.long 0x00 5. "cmd_end_reached,cmd_end_reached" "0,1" newline bitfld.long 0x00 4. "cmd_parse_error,cmd_parse_error" "0,1" bitfld.long 0x00 3. "reset_status,reset_status" "0,1" bitfld.long 0x00 2. "bus_status,bus_status" "0,1" bitfld.long 0x00 1. "irq_raised,irq_raised" "0,1" newline bitfld.long 0x00 0. "state,state" "0,1" group.long 0x08++0x03 line.long 0x00 "CMD,CMD" hexmask.long.word 0x00 16.--31. 1. "clear_irq_history,clear_irq_history" hexmask.long.word 0x00 5.--15. 1. "RES0,Reserved" bitfld.long 0x00 4. "stop_request,stop_request" "0,1" bitfld.long 0x00 3. "power_q_enable,power_q_enable" "0,1" newline bitfld.long 0x00 2. "clock_q_enable,clock_q_enable" "0,1" bitfld.long 0x00 1. "clear_irq,clear_irq" "0,1" bitfld.long 0x00 0. "transition_to_running_state,transition_to_running_state" "0,1" group.long 0x0C++0x03 line.long 0x00 "RESET,RESET" hexmask.long 0x00 2.--31. 1. "RES0,Reserved" bitfld.long 0x00 1. "pending_CSL,pending_CSL" "0,1" bitfld.long 0x00 0. "pending_CPL,pending_CPL" "0,1" group.long 0x10++0x03 line.long 0x00 "QBASE0,QBASE0" hexmask.long 0x00 0.--31. 1. "QBASE0,QBASE0" group.long 0x14++0x03 line.long 0x00 "QBASE1,QBASE1" hexmask.long 0x00 0.--31. 1. "QBASE1,QBASE1" rgroup.long 0x18++0x03 line.long 0x00 "QREAD,QREAD" hexmask.long 0x00 0.--31. 1. "QREAD,QREAD" group.long 0x1C++0x03 line.long 0x00 "QCONFIG,QCONFIG" hexmask.long 0x00 0.--31. 1. "QCONFIG,QCONFIG" group.long 0x20++0x03 line.long 0x00 "QSIZE,QSIZE" hexmask.long 0x00 0.--31. 1. "QSIZE,QSIZE" rgroup.long 0x24++0x03 line.long 0x00 "PROT,PROT" hexmask.long 0x00 2.--31. 1. "RES0,Reserved" bitfld.long 0x00 1. "active_CSL,active_CSL" "0,1" bitfld.long 0x00 0. "active_CPL,active_CPL" "0,1" rgroup.long 0x28++0x03 line.long 0x00 "CONFIG,CONFIG" bitfld.long 0x00 28.--31. "product,product" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "RES0,Reserved" hexmask.long.byte 0x00 8.--15. 1. "shram_size,shram_size" bitfld.long 0x00 4.--7. "cmd_stream_version,cmd_stream_version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "macs_per_cc,macs_per_cc" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x2C++0x03 line.long 0x00 "LOCK,LOCK" hexmask.long 0x00 0.--31. 1. "LOCK,LOCK" group.long 0x3C++0x03 line.long 0x00 "REGIONCFG,REGIONCFG" hexmask.long.word 0x00 16.--31. 1. "RES0,Reserved" bitfld.long 0x00 14.--15. "region7,region7" "0,1,2,3" bitfld.long 0x00 12.--13. "region6,region6" "0,1,2,3" bitfld.long 0x00 10.--11. "region5,region5" "0,1,2,3" newline bitfld.long 0x00 8.--9. "region4,region4" "0,1,2,3" bitfld.long 0x00 6.--7. "region3,region3" "0,1,2,3" bitfld.long 0x00 4.--5. "region2,region2" "0,1,2,3" bitfld.long 0x00 2.--3. "region1,region1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "region0,region0" "0,1,2,3" repeat 4. (strings "0" "1" "2" "3" )(list 0x0 0x4 0x8 0xC ) group.long ($2+0x40)++0x03 line.long 0x00 "AXI_LIMIT$1,AXI_LIMIT $1" hexmask.long.byte 0x00 24.--31. 1. "max_outstanding_write_m1,max_outstanding_write_m1" hexmask.long.byte 0x00 16.--23. 1. "max_outstanding_read_m1,max_outstanding_read_m1" hexmask.long.byte 0x00 8.--15. 1. "RES1,Reserved" bitfld.long 0x00 4.--7. "memtype,memtype" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2.--3. "RES0,Reserved" "0,1,2,3" bitfld.long 0x00 0.--1. "max_beats,max_beats" "0,1,2,3" repeat.end repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x80)++0x03 line.long 0x00 "BASEP$1,BASEP $1" hexmask.long 0x00 0.--31. 1. "addr_word,addr_word" repeat.end group.long 0x180++0x03 line.long 0x00 "PMCR,PMCR" hexmask.long.word 0x00 16.--31. 1. "RES1,Reserved" rbitfld.long 0x00 11.--15. "num_event_cnt,num_event_cnt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.byte 0x00 4.--10. 1. "RES0,Reserved" bitfld.long 0x00 3. "mask_en,mask_en" "0,1" newline bitfld.long 0x00 2. "cycle_cnt_rst,cycle_cnt_rst" "0,1" bitfld.long 0x00 1. "event_cnt_rst,event_cnt_rst" "0,1" bitfld.long 0x00 0. "cnt_en,cnt_en" "0,1" group.long 0x184++0x03 line.long 0x00 "PMCNTENSET,PMCNTENSET" bitfld.long 0x00 31. "CYCLE_CNT,CYCLE_CNT" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3,EVENT_CNT_3" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2,EVENT_CNT_2" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1,EVENT_CNT_1" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0,EVENT_CNT_0" "0,1" group.long 0x188++0x03 line.long 0x00 "PMCNTENCLR,PMCNTENCLR" bitfld.long 0x00 31. "CYCLE_CNT,CYCLE_CNT" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3,EVENT_CNT_3" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2,EVENT_CNT_2" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1,EVENT_CNT_1" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0,EVENT_CNT_0" "0,1" group.long 0x18C++0x03 line.long 0x00 "PMOVSSET,PMOVSSET" bitfld.long 0x00 31. "CYCLE_CNT_OVF,CYCLE_CNT_OVF" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3_OVF,EVENT_CNT_3_OVF" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2_OVF,EVENT_CNT_2_OVF" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1_OVF,EVENT_CNT_1_OVF" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0_OVF,EVENT_CNT_0_OVF" "0,1" group.long 0x190++0x03 line.long 0x00 "PMOVSCLR,PMOVSCLR" bitfld.long 0x00 31. "CYCLE_CNT_OVF,CYCLE_CNT_OVF" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3_OVF,EVENT_CNT_3_OVF" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2_OVF,EVENT_CNT_2_OVF" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1_OVF,EVENT_CNT_1_OVF" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0_OVF,EVENT_CNT_0_OVF" "0,1" group.long 0x194++0x03 line.long 0x00 "PMINTSET,PMINTSET" bitfld.long 0x00 31. "CYCLE_CNT_INT,CYCLE_CNT_INT" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3_INT,EVENT_CNT_3_INT" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2_INT,EVENT_CNT_2_INT" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1_INT,EVENT_CNT_1_INT" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0_INT,EVENT_CNT_0_INT" "0,1" group.long 0x198++0x03 line.long 0x00 "PMINTCLR,PMINTCLR" bitfld.long 0x00 31. "CYCLE_CNT_INT,CYCLE_CNT_INT" "0,1" hexmask.long 0x00 4.--30. 1. "RES0,Reserved" bitfld.long 0x00 3. "EVENT_CNT_3_INT,EVENT_CNT_3_INT" "0,1" bitfld.long 0x00 2. "EVENT_CNT_2_INT,EVENT_CNT_2_INT" "0,1" newline bitfld.long 0x00 1. "EVENT_CNT_1_INT,EVENT_CNT_1_INT" "0,1" bitfld.long 0x00 0. "EVENT_CNT_0_INT,EVENT_CNT_0_INT" "0,1" group.long 0x1A0++0x03 line.long 0x00 "PMCCNTR_LO,PMCCNTR_LO" hexmask.long 0x00 0.--31. 1. "CYCLE_CNT_LO,CYCLE_CNT_LO" group.long 0x1A4++0x03 line.long 0x00 "PMCCNTR_HI,PMCCNTR_HI" hexmask.long.word 0x00 16.--31. 1. "RES0,Reserved" hexmask.long.word 0x00 0.--15. 1. "CYCLE_CNT_HI,CYCLE_CNT_HI" group.long 0x1AC++0x03 line.long 0x00 "PMCAXI_CHAN,PMCAXI_CHAN" hexmask.long.tbyte 0x00 11.--31. 1. "RES1,Reserved" bitfld.long 0x00 10. "BW_CH_SEL_EN,BW_CH_SEL_EN" "0,1" bitfld.long 0x00 8.--9. "AXI_CNT_SEL,AXI_CNT_SEL" "0,1,2,3" rbitfld.long 0x00 4.--7. "RES0,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "CH_SEL,CH_SEL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x300++0x03 line.long 0x00 "PMEVCNTR0,PMEVCNTR0" hexmask.long 0x00 0.--31. 1. "PMEVCNTR0,no description available" group.long 0x304++0x03 line.long 0x00 "PMEVCNTR1,PMEVCNTR1" hexmask.long 0x00 0.--31. 1. "PMEVCNTR1,no description available" group.long 0x308++0x03 line.long 0x00 "PMEVCNTR2,PMEVCNTR2" hexmask.long 0x00 0.--31. 1. "PMEVCNTR2,no description available" group.long 0x30C++0x03 line.long 0x00 "PMEVCNTR3,PMEVCNTR3" hexmask.long 0x00 0.--31. 1. "PMEVCNTR3,no description available" repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x380)++0x03 line.long 0x00 "PMEVTYPER$1,PMEVTYPER $1" hexmask.long.tbyte 0x00 10.--31. 1. "RES0,Reserved" hexmask.long.word 0x00 0.--9. 1. "EV_TYPE,EV_TYPE" repeat.end rgroup.long 0xFD0++0x03 line.long 0x00 "PID4,PID4" hexmask.long 0x00 0.--31. 1. "PID4,PID4" rgroup.long 0xFD4++0x03 line.long 0x00 "PID5,PID5" hexmask.long 0x00 0.--31. 1. "PID5,PID5" rgroup.long 0xFD8++0x03 line.long 0x00 "PID6,PID6" hexmask.long 0x00 0.--31. 1. "PID6,PID6" rgroup.long 0xFDC++0x03 line.long 0x00 "PID7,PID7" hexmask.long 0x00 0.--31. 1. "PID7,PID7" rgroup.long 0xFE0++0x03 line.long 0x00 "PID0,PID0" hexmask.long 0x00 0.--31. 1. "PID0,PID0" rgroup.long 0xFE4++0x03 line.long 0x00 "PID1,PID1" hexmask.long 0x00 0.--31. 1. "PID1,PID1" rgroup.long 0xFE8++0x03 line.long 0x00 "PID2,PID2" hexmask.long 0x00 0.--31. 1. "PID2,PID2" rgroup.long 0xFEC++0x03 line.long 0x00 "PID3,PID3" hexmask.long 0x00 0.--31. 1. "PID3,PID3" rgroup.long 0xFF0++0x03 line.long 0x00 "CID0,CID0" hexmask.long 0x00 0.--31. 1. "CID0,CID0" rgroup.long 0xFF4++0x03 line.long 0x00 "CID1,CID1" hexmask.long 0x00 0.--31. 1. "CID1,CID1" rgroup.long 0xFF8++0x03 line.long 0x00 "CID2,CID2" hexmask.long 0x00 0.--31. 1. "CID2,CID2" rgroup.long 0xFFC++0x03 line.long 0x00 "CID3,CID3" hexmask.long 0x00 0.--31. 1. "CID3,CID3" tree.end endif tree "OCOTP" base ad:0x47518000 repeat 512. (increment 0 1) (increment 0 0x4) group.long ($2+0x00)++0x03 line.long 0x00 "OTP_SHADOW[$1],OTP shadow register N $1" hexmask.long 0x00 0.--31. 1. "SHADOW,OTP shadow register sentinel have read/write access of shadow 0-511 (offset should be 0*4-511*4) fsb have read access of shadow 0-51 (offset should be 0*4-51*4)/312-511 (offset should be 312*4-511*4)" repeat.end group.long 0x800++0x03 line.long 0x00 "HW_OCOTP_CTRL,OTP Controller Control Register" hexmask.long.word 0x00 16.--31. 1. "WR_UNLOCK,Write 0x3E77 to enable OTP write accesses" bitfld.long 0x00 12.--13. "RED_MUX_SEL,For fuse word with Redundancy mode if set red_mux_sel to 0 low 16bit fuse OR high 16bit fuse value can be read if set red_mux_sel to 1 low 16bit fuse value can be read if set red_mux_sel to 2 high 16bit fuse value can be" "0,1,2,3" bitfld.long 0x00 11. "RELOAD_SHADOWS,Set to force re-loading the shadow registers (HW/SW capability and LOCK)" "0,1" newline bitfld.long 0x00 10. "SRAM_ENTROPY_DATA_EN,Default is disable read value of sram entropy data read avaliable when set to 1" "0,1" bitfld.long 0x00 9. "PRNG_OUTPUT_DATA_EN,Default is disable read value of sram entrop data read avaliable when set to 1" "0,1" hexmask.long.word 0x00 0.--8. 1. "ADDR,OTP word address for read/programming" group.long 0x804++0x03 line.long 0x00 "HW_OCOTP_CTRL1,OTP Controller Control1 Register" bitfld.long 0x00 31. "EFUSE_PD,efuse_pd is controlled by SW register bit" "0,1" bitfld.long 0x00 30. "MARGIN,Read trip point setting : MARGIN = L for normal read mode (default) MARGIN = H for margin read1 mode" "0,1" bitfld.long 0x00 29. "SEQ_SWITCH,If SEQ_SWITCH de-asserted (default) setup and hold timing is suitable for 24MHZ else if SEQ_SWITCH asserted setup and hold timing is suitable for 250MHZ" "0,1" newline bitfld.long 0x00 28. "ZERO_FUSE_EN,zeroized fuse enable" "0,1" bitfld.long 0x00 26.--27. "EFUSE_PD_SEL,When redundancy enable equal to 1 if EFUSE_PD_SEL equal to 0 (default) fuse box power is controlled by ocotp controller input pin efuse_pd if EFUSE_PD_SEL equal to 1 fuse box power is controlled by SW register bit EFUSE_PD if EFUSE_PD_SEL.." "0,1,2,3" group.long 0x808++0x03 line.long 0x00 "HW_OCOTP_WRITE_DATA,OTP Controller Write Data Register" hexmask.long 0x00 0.--31. 1. "WRITE_DATA,Fuse word programming data" group.long 0x80C++0x03 line.long 0x00 "HW_OCOTP_READ_CTRL,OTP Controller Read Ctrl Register" bitfld.long 0x00 0. "READ,Writing 1 to start a fuse word read operation" "0,1" group.long 0x810++0x03 line.long 0x00 "HW_OCOTP_READ_DATA,OTP Controller Read Data Register" hexmask.long 0x00 0.--31. 1. "READ_DATA,Fuse word read data from read operation" group.long 0x814++0x03 line.long 0x00 "HW_OCOTP_ZERO_FUSE,OTP Controller Zero Fuse Register" hexmask.long.word 0x00 0.--8. 1. "ADDR,OTP word address for zeroized" group.long 0x818++0x03 line.long 0x00 "HW_OCOTP_CRC_CTRL,OTP Controller CRC Ctrl Register" bitfld.long 0x00 16.--19. "CRC_LUT_SEL,CRC_LUT control register lookup table selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "CRC_RUN_LOCK,This bit is a sticky-bit reset by POR if set this bit will mask enablement of CRC_RUN" "0,1" rbitfld.long 0x00 2. "CRC_STATUS,1'b1 indicated CRC fail if crc fails set when CRC_DONE asserted" "0,1" newline rbitfld.long 0x00 1. "CRC_DONE,1'b1 indicates CRC has completed" "0,1" bitfld.long 0x00 0. "CRC_RUN,If not mask off set to enable and cleared by CRC_DONE" "0,1" rgroup.long 0x81C++0x03 line.long 0x00 "HW_OCOTP_CRC_VALUE,OTP Controller CRC Value Register" hexmask.long 0x00 0.--31. 1. "CRC_VALUE,The CRC result value" group.long 0x820++0x03 line.long 0x00 "HW_OCOTP_STATUS,OTP Controller Status Register" rbitfld.long 0x00 25. "FUSE_LATCHED,Indicate all shadows registers have been loaded with their corresponding fuse words when set by the controller after reset" "0,1" bitfld.long 0x00 22. "BUSY,OTP controller status bit" "0,1" rbitfld.long 0x00 9. "LC_NOT_BLANK_STICKY,indicate lc not blank has been blown" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "LOAD_COUNTER,store load fuse number when load finished" rgroup.long 0x828++0x03 line.long 0x00 "HW_OCOTP_VERSION,OTP Controller Version Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR_VER,OTP controller major version" hexmask.long.byte 0x00 16.--23. 1. "MINOR_VER,OTP controller minor version" hexmask.long.word 0x00 0.--15. 1. "STEP_VER,OTP controller step version" group.long 0x82C++0x03 line.long 0x00 "HW_OCOTP_NONMASK_STATUS1,OTP Controller Nonmask Status1 Register" bitfld.long 0x00 31. "NONMASK_SHADOW_NO_ACCESS,Asserted if access non-exist shadow register without mask" "0,1" bitfld.long 0x00 30. "NONMASK_FUSE_PROG_ERR,Asserted if fuse prog error is generated" "0,1" bitfld.long 0x00 29. "NONMASK_FUSE_READ_ERR,Asserted if fuse read error is generated" "0,1" newline bitfld.long 0x00 28. "NONMASK_SHADOW_SRAM_WRITE_ERR,Asserted if shadow sram write error is generated" "0,1" bitfld.long 0x00 27. "NONMASK_SHADOW_SRAM_READ_ERR,Asserted if shadow sram read error is generated" "0,1" bitfld.long 0x00 26. "NONMASK_SHADOW_HVF_WRITE_ERR,Asserted if shadow hvf write error is generated" "0,1" newline bitfld.long 0x00 25. "NONMASK_SHADOW_HVF_READ_ERR,Asserted if shadow hvf read error is generated" "0,1" bitfld.long 0x00 24. "NONMASK_WRITE_DURING_RELOAD_ERR,Asserted if writing operation occurs during reload" "0,1" bitfld.long 0x00 23. "NONMASK_RELOAD_REQ_ERR,Asserted if reload operation occurs when lmda_ocotp_no_reload equal to 1" "0,1" newline bitfld.long 0x00 22. "NONMASK_FUSE_ACCESS_ERR,Asserted if fuse read/prog operation occurs when lmda_ocotp_no_fuse_access equal to 1" "0,1" bitfld.long 0x00 21. "NONMASK_ZEROIZED_ERR,Asserted if zeroized fuse word is detected" "0,1" bitfld.long 0x00 20. "NONMASK_PRNG_O_FAULT,Asserted if output signal o_default of prng module is not equal to 1" "0,1" newline bitfld.long 0x00 19. "NONMASK_BITPROTECT_ERR,Asserted if bit protect error is generated" "0,1" bitfld.long 0x00 18. "NONMASK_COUNTER_ERR,Asserted if load counter is not equal to fuse word number when load finished" "0,1" bitfld.long 0x00 17. "NONMASK_LOAD_ERR,Asserted if load glitch is detected" "0,1" newline bitfld.long 0x00 16. "NONMASK_WRITE_ERR,Asserted if write glitch is detected" "0,1" bitfld.long 0x00 15. "NONMASK_CRC_SECURTY_PROTECT_ERR,Asserted if not in secured state when SECURITY PROTECTION bit in associated CRC_LUT register is set" "0,1" bitfld.long 0x00 14. "NONMASK_CRC_WRITE_PROTECT_ERR,Asserted if write access occurs when WRITE PROTECTION bit in associated CRC_LUT register is set" "0,1" newline bitfld.long 0x00 13. "NONMASK_CRC_ADDRESS_RANGE_ERR,Asserted if CRC_START_ADDR and CRC_END_ADDR bits in associated CRC_LUT register is out of range both CRC_END_ADDR is smaller than CRC_START_ADDR OR CRC_SATRT_ADDR is larger than 124 OR CRC_END_ADDR is larger than 128 will.." "0,1" bitfld.long 0x00 12. "NONMASK_CRC_LUT_SEL_ERR,Asserted if CRC_LUT_SEL bits in CRC_CTRL register is out range ([1 8]) when CRC_RUN bit in CRC_CTRL register is set" "0,1" bitfld.long 0x00 11. "NONMASK_CRC_DONE,Asserted if CRC done" "0,1" newline bitfld.long 0x00 6. "NONMASK_OTP_STATE_ERR,Asserted if state machine go to invalid state" "0,1" bitfld.long 0x00 5. "NONMASK_PBRICK_ERR,Asserted if ctrl_ocotp_nxp_zeroization_mask_fuse_wp and ctrl_ocotp_nxp_zeroization_mask_fuse_wp_n are both 0/1 OR ctrl_ocotp_oem_zeroization_mask_wp and ctrl_ocotp_oem_zeroization_mask_wp_n are both 0/1" "0,1" bitfld.long 0x00 4. "NONMASK_AUTO_TEST_ERR,Asserted if auto test check fail" "0,1" group.long 0x830++0x03 line.long 0x00 "HW_OCOTP_MASK_CTRL1,OTP Controller Mask Ctrl1 Register" bitfld.long 0x00 31. "CTRL_MASK_SHADOW_NO_ACCESS,Used for mask NONMASK_SHADOW_NO_ACCESS bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 30. "CTRL_MASK_FUSE_PROG_ERR,Used for mask NONMASK_FUSE_PROG_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 29. "CTRL_MASK_FUSE_READ_ERR,Used for mask NONMASK_FUSE_READ_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 28. "CTRL_MASK_SHADOW_SRAM_WRITE_ERR,Used for mask NONMASK_SHADOW_SRAM_WRITE_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 27. "CTRL_MASK_SHADOW_SRAM_READ_ERR,Used for mask NONMASK_SHADOW_SRAM_READ_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 26. "CTRL_MASK_SHADOW_HVF_WRITE_ERR,Used for mask NONMASK_SHADOW_HVF_WRITE_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 25. "CTRL_MASK_SHADOW_HVF_READ_ERR,Used for mask NONMASK_SHADOW_HVF_READ_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 24. "CTRL_MASK_WRITE_DURING_RELOAD_ERR,Used for mask NONMASK_WRITE_DURING_RELOAD_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 23. "CTRL_MASK_RELOAD_REQ_ERR,Used for mask NONMASK_RELOAD_REQ_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 22. "CTRL_MASK_FUSE_ACCESS_ERR,Used for mask NONMASK_FUSE_ACCESS_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 21. "CTRL_MASK_ZEROIZED_ERR,Used for mask NONMASK_ZEROIZED_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 20. "CTRL_MASK_PRNG_O_FAULT,Used for mask NONMASK_PRNG_O_FAULT bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 19. "CTRL_MASK_BITPROTECT_ERR,Used for mask NONMASK_BITPROTECT_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 18. "CTRL_MASK_COUNTER_ERR,Used for mask NONMASK_COUNTER_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 17. "CTRL_MASK_LOAD_ERR,Used for mask NONMASK_LOAD_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 16. "CTRL_MASK_WRITE_ERR,Used for mask NONMASK_WRITE_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 15. "CTRL_MASK_CRC_SECURTY_PROTECT_ERR,Used for mask NONMASK_CRC_SECURTY_PROTECT_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 14. "CTRL_MASK_CRC_WRITE_PROTECT_ERR,Used for mask NONMASK_CRC_WRITE_PROTECT_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 13. "CTRL_MASK_CRC_ADDRESS_RANGE_ERR,Used for mask NONMASK_CRC_ADDRESS_RANGE_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 12. "CTRL_MASK_CRC_LUT_SEL_ERR,Used for mask NONMASK_CRC_LUT_SEL_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 11. "CTRL_MASK_CRC_DONE,Used for mask NONMASK_CRC_DONE bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 6. "CTRL_MASK_OTP_STATE_ERR,Used for mask NONMASK_OTP_STATE_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 5. "CTRL_MASK_PBRICK_ERR,Used for mask NONMASK_PBRICK_ERR bit in NONMASK_STATUS1 register" "0,1" bitfld.long 0x00 4. "CTRL_MASK_AUTO_TEST_ERR,Used for mask NONMASK_AUTO_TEST_ERR bit in NONMASK_STATUS1 register" "0,1" newline bitfld.long 0x00 3. "TMEOUT_COUNTER_EN,Enable of timeout counter feature" "0,1" bitfld.long 0x00 0.--2. "TIMEOUT_COUNTER,De-asserted cycle number of apb_ready" "0,1,2,3,4,5,6,7" group.long 0x834++0x03 line.long 0x00 "HW_OCOTP_MASK_STATUS1,OTP Controller Nonmask Status1 Register" rbitfld.long 0x00 31. "MASK_SHADOW_NO_ACCESS,asserted if access non-exist shadow register with mask" "0,1" rbitfld.long 0x00 30. "MASK_FUSE_PROG_ERR,Asserted if fuse prog error is generated" "0,1" rbitfld.long 0x00 29. "MASK_FUSE_READ_ERR,Asserted if fuse read error is generated" "0,1" newline rbitfld.long 0x00 28. "MASK_SHADOW_SRAM_WRITE_ERR,Asserted if shadow sram write error is generated" "0,1" rbitfld.long 0x00 27. "MASK_SHADOW_SRAM_READ_ERR,Asserted if shadow sram read error is generated" "0,1" rbitfld.long 0x00 26. "MASK_SHADOW_HVF_WRITE_ERR,Asserted if shadow hvf write error is generated" "0,1" newline rbitfld.long 0x00 25. "MASK_SHADOW_HVF_READ_ERR,Asserted if shadow hvf read error is generated" "0,1" rbitfld.long 0x00 24. "MASK_WRITE_DURING_RELOAD_ERR,Asserted if writing operation occurs during reload" "0,1" rbitfld.long 0x00 23. "MASK_RELOAD_REQ_ERR,Asserted if reload operation occurs when lmda_ocotp_no_reload equal to 1" "0,1" newline rbitfld.long 0x00 22. "MASK_FUSE_ACCESS_ERR,Asserted if fuse read/prog operation occurs when lmda_ocotp_no_fuse_access equal to 1" "0,1" rbitfld.long 0x00 21. "MASK_ZEROIZED_ERR,Asserted if zeroized fuse word is detected" "0,1" rbitfld.long 0x00 20. "MASK_PRNG_O_FAULT,Asserted if output signal o_default of prng module is not equal to 1" "0,1" newline rbitfld.long 0x00 19. "MASK_BITPROTECT_ERR,Asserted if bit protect error is generated" "0,1" rbitfld.long 0x00 18. "MASK_COUNTER_ERR,Asserted if load counter is not equal to fuse word number when load finished" "0,1" rbitfld.long 0x00 17. "MASK_LOAD_ERR,Asserted if load glitch is detected" "0,1" newline rbitfld.long 0x00 16. "MASK_WRITE_ERR,Asserted if write glitch is detected" "0,1" rbitfld.long 0x00 15. "MASK_CRC_SECURTY_PROTECT_ERR,Asserted if not in secured state when SECURITY PROTECTION bit in associated CRC_LUT register is set" "0,1" rbitfld.long 0x00 14. "MASK_CRC_WRITE_PROTECT_ERR,Asserted if write access occurs when WRITE PROTECTION bit in associated CRC_LUT register is set" "0,1" newline rbitfld.long 0x00 13. "MASK_CRC_ADDRESS_RANGE_ERR,Asserted if CRC_START_ADDR and CRC_END_ADDR bits in associated CRC_LUT register is out of range both CRC_END_ADDR is smaller than CRC_START_ADDR OR CRC_SATRT_ADDR is larger than 124 OR CRC_END_ADDR is larger than 128 will.." "0,1" rbitfld.long 0x00 12. "MASK_CRC_LUT_SEL_ERR,Asserted if CRC_LUT_SEL bits in CRC_CTRL register is out range ([1 8]) when CRC_RUN bit in CRC_CTRL register is set" "0,1" rbitfld.long 0x00 11. "MASK_CRC_DONE,Asserted if CRC done" "0,1" newline bitfld.long 0x00 6. "MASK_OTP_STATE_ERR,Asserted if state machine go to invalid state" "0,1" bitfld.long 0x00 5. "MASK_PBRICK_ERR,Asserted if ctrl_ocotp_nxp_zeroization_mask_fuse_wp and ctrl_ocotp_nxp_zeroization_mask_fuse_wp_n are both 0/1 OR ctrl_ocotp_oem_zeroization_mask_wp and ctrl_ocotp_oem_zeroization_mask_wp_n are both 0/1" "0,1" rbitfld.long 0x00 4. "MASK_AUTO_TEST_ERR,Asserted if auto test check fail" "0,1" group.long 0x838++0x03 line.long 0x00 "HW_OCOTP_STROBE_TIME,OTP Controller Strobe Time Register" hexmask.long.word 0x00 16.--26. 1. "STROBE_TIME_READ,Read strobe time" hexmask.long.word 0x00 0.--10. 1. "STROBE_TIME_PROG,Program strobe time" group.long 0x83C++0x03 line.long 0x00 "HW_OCOTP_SETUP_HOLD_TIME,OTP Controller Setup Hold Time Register" bitfld.long 0x00 24.--27. "HOLD_TIME_LOAD_STROBE,Hold time between load and strobe" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "HOLD_TIME_PGENB_STROBE,Hold time between pgenb and strobe" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "HOLD_TIME_PS_CSB,Hold time between ps and csb" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "SETUP_TIME_LOAD_STROBE,Setup time between load and strobe" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. "SETUP_TIME_PGENB_STROBE,Setup time between pgenb and strobe" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "SETUP_TIME_PS_CSB,Setup time between ps and csb" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x840++0x03 line.long 0x00 "HW_OCOTP_RELAX_TIME,OTP Controller Relax Time Register" bitfld.long 0x00 0.--3. "RELAX_TIME,Relax time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x844++0x03 line.long 0x00 "HW_OCOTP_RED_CONFIG,OTP Controller Red config Register" bitfld.long 0x00 23. "FUSE_SEL,Fuse selection in redundancy mode" "0,1" bitfld.long 0x00 20.--22. "R_SEL,Redundancy select select which repair bit is used" "0,1,2,3,4,5,6,7" bitfld.long 0x00 19. "R_PGM_EN,Redundancy program enable" "0,1" newline bitfld.long 0x00 18. "R_READ_EN,Redundancy enable default 1 if redundancy enable equal to 1 default 0 if redundancy enable equal to 0" "0,1" bitfld.long 0x00 17. "RWL,Redundancy information row select (active high) default 1 if redundancy enable equal to 1 default 0 if redundancy enable equal to 0" "0,1" bitfld.long 0x00 16. "RSB,Redundancy enable/disable (active low) default 0 if redundancy enable equal to 1 default 1 if redundancy enable equal to 0" "0,1" newline bitfld.long 0x00 15. "FB_DISABLE,FB_Disable is used to disregard the nth redundancy bits" "0,1" bitfld.long 0x00 14. "FB_A12,FB_A12 is the address data of 12th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 13. "FB_A11,FB_A11 is the address data of 11th redundancy bit which will be strobed in RIR" "0,1" newline bitfld.long 0x00 12. "FB_A10,FB_A10 is the address data of 10th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 11. "FB_A9,FB_A9 is the address data of 9th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 10. "FB_A8,FB_A8 is the address data of 8th redundancy bit which will be strobed in RIR" "0,1" newline bitfld.long 0x00 9. "FB_A7,FB_A7 is the address data of 7th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 8. "FB_A6,FB_A6 is the address data of 6th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 7. "FB_A5,FB_A5 is the address data of 5th redundancy bit which will be strobed in RIR" "0,1" newline bitfld.long 0x00 6. "FB_A4,FB_A4 is the address data of 4th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 5. "FB_A3,FB_A3 is the address data of 3th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 4. "FB_A2,FB_A2 is the address data of 2th redundancy bit which will be strobed in RIR" "0,1" newline bitfld.long 0x00 3. "FB_A1,FB_A1 is the address data of 1th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 2. "FB_A0,FB_A0 is the address data of 0th redundancy bit which will be strobed in RIR" "0,1" bitfld.long 0x00 1. "FB_DATA,FB_Data is the correct data of nth redundancy bit whick will be stored in RIR" "0,1" newline bitfld.long 0x00 0. "RF,RF is the flag to record whether the redundancy bit has been used or not" "0,1" group.long 0x848++0x03 line.long 0x00 "HW_OCOTP_TEST_CONFIG,OTP Controller Test Config Register" bitfld.long 0x00 31. "T_AUTO,Auto test enable" "0,1" bitfld.long 0x00 30. "T_EN,Test enable" "0,1" bitfld.long 0x00 24.--29. "SECOND_COL_ADDRESS,Second column address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 23. "FUSE_SEL,Fuse selection in test mode" "0,1" hexmask.long.byte 0x00 16.--22. 1. "SECOND_ROW_ADDRESS,Second row address" bitfld.long 0x00 15. "AT1,Test row/column setting: [AT1 AT0] = [0 0] for 1st test row [0 1] for 2nd test row [1 0] for 1st test column and [1 1] for 2nd test column" "0,1" newline bitfld.long 0x00 14. "AT0,Test row/column setting: [AT1 AT0] = [0 0] for 1st test row [0 1] for 2nd test row [1 0] for 1st test column and [1 1] for 2nd test column" "0,1" bitfld.long 0x00 8.--13. "FIRST_COL_ADDRESS,First column address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 7. "TRCS,Test row/column select enable (active high)" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. "FIRST_ROW_ADDRESS,First row address" group.long 0x84C++0x03 line.long 0x00 "HW_OCOTP_PRNG_RAND_SEED,OTP Controller PRNG Random Seed Register" hexmask.long 0x00 0.--31. 1. "PRNG_RAND_SEED,One random seed input of PRNG from sentinel configration" rgroup.long 0x850++0x03 line.long 0x00 "HW_OCOTP_SRAM_ENTROPY_DATA,OTP Controller SRAM Entropy Data Register" hexmask.long 0x00 0.--31. 1. "SRAM_ENTROPY_DATA,One random seed input of PRNG from initial sram data" rgroup.long 0x854++0x03 line.long 0x00 "HW_OCOTP_PRNG_OUTPUT_DATA,OTP Controller PRNG Output Data Register" hexmask.long.tbyte 0x00 0.--17. 1. "PRNG_OUTPUT_DATA,Output of PRNG" repeat 8. (strings "0" "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x85C)++0x03 line.long 0x00 "HW_OCOTP_CRC_LUT_$1,OTP Controller CRC LUT Register $1" bitfld.long 0x00 31. "WRITE_PROTECTION,Write-protection sticky-bit reset by POR" "0,1" bitfld.long 0x00 30. "SECURITY_PROTECTION,Security protection bit" "0,1" rbitfld.long 0x00 29. "READ_PROTECTION,CRC read protect" "0,1" newline hexmask.long.byte 0x00 16.--22. 1. "CRC_FUSE_END_ADDR,CRC fuse end address" bitfld.long 0x00 8.--10. "CRC_EXP_VAL_FUSE_ADDR,CRC expected value fuse address" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--6. 1. "CRC_FUSE_START_ADDR,CRC fuse start address" repeat.end group.long 0x87C++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_0,OTP Controller Lock Sticky Register0" bitfld.long 0x00 29. "LOCK_STICKY_BIT29,Lock sticky bit29" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT28,Lock sticky bit28" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT27,Lock sticky bit27" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT26,Lock sticky bit26" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT25,Lock sticky bit25" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT24,Lock sticky bit24" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT23,Lock sticky bit23" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT22,Lock sticky bit22" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT21,Lock sticky bit21" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT20,Lock sticky bit20" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT19,Lock sticky bit19" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT18,Lock sticky bit18" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT17,Lock sticky bit17" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT16,Lock sticky bit16" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT15,Lock sticky bit15" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT14,Lock sticky bit14" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT13,Lock sticky bit13" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT12,Lock sticky bit12" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT11,Lock sticky bit11" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT10,Lock sticky bit10" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT9,Lock sticky bit9" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT8,Lock sticky bit8" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT7,Lock sticky bit7" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT6,Lock sticky bit6" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT5,Lock sticky bit5" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT4,Lock sticky bit4" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT3,Lock sticky bit3" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT2,Lock sticky bit2" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT1,Lock sticky bit1" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT0,Lock sticky bit0" "0,1" group.long 0x880++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_1,OTP Controller Lock Sticky Register1" bitfld.long 0x00 29. "LOCK_STICKY_BIT59,Lock sticky bit59" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT58,Lock sticky bit58" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT57,Lock sticky bit57" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT56,Lock sticky bit56" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT55,Lock sticky bit55" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT54,Lock sticky bit54" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT53,Lock sticky bit53" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT52,Lock sticky bit52" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT51,Lock sticky bit51" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT50,Lock sticky bit50" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT49,Lock sticky bit49" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT48,Lock sticky bit48" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT47,Lock sticky bit47" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT46,Lock sticky bit46" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT45,Lock sticky bit45" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT44,Lock sticky bit44" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT43,Lock sticky bit43" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT42,Lock sticky bit42" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT41,Lock sticky bit41" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT40,Lock sticky bit40" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT39,Lock sticky bit39" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT38,Lock sticky bit38" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT37,Lock sticky bit37" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT36,Lock sticky bit36" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT35,Lock sticky bit35" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT34,Lock sticky bit34" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT33,Lock sticky bit33" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT32,Lock sticky bit32" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT31,Lock sticky bit31" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT30,Lock sticky bit30" "0,1" group.long 0x884++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_2,OTP Controller Lock Sticky Register2" bitfld.long 0x00 29. "LOCK_STICKY_BIT89,Lock sticky bit89" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT88,Lock sticky bit88" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT87,Lock sticky bit87" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT86,Lock sticky bit86" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT85,Lock sticky bit85" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT84,Lock sticky bit84" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT83,Lock sticky bit83" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT82,Lock sticky bit82" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT81,Lock sticky bit81" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT80,Lock sticky bit80" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT79,Lock sticky bit79" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT78,Lock sticky bit78" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT77,Lock sticky bit77" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT76,Lock sticky bit76" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT75,Lock sticky bit75" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT74,Lock sticky bit74" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT73,Lock sticky bit73" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT72,Lock sticky bit72" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT71,Lock sticky bit71" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT70,Lock sticky bit70" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT69,Lock sticky bit69" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT68,Lock sticky bit68" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT67,Lock sticky bit67" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT66,Lock sticky bit66" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT65,Lock sticky bit65" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT64,Lock sticky bit64" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT63,Lock sticky bit63" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT62,Lock sticky bit62" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT61,Lock sticky bit61" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT60,Lock sticky bit60" "0,1" group.long 0x888++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_3,OTP Controller Lock Sticky Register3" bitfld.long 0x00 29. "LOCK_STICKY_BIT119,Lock sticky bit119" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT118,Lock sticky bit118" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT117,Lock sticky bit117" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT116,Lock sticky bit116" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT115,Lock sticky bit115" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT114,Lock sticky bit114" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT113,Lock sticky bit113" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT112,Lock sticky bit112" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT111,Lock sticky bit111" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT110,Lock sticky bit110" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT109,Lock sticky bit109" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT108,Lock sticky bit108" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT107,Lock sticky bit107" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT106,Lock sticky bit106" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT105,Lock sticky bit105" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT104,Lock sticky bit104" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT103,Lock sticky bit103" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT102,Lock sticky bit102" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT101,Lock sticky bit101" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT100,Lock sticky bit100" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT99,Lock sticky bit99" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT98,Lock sticky bit98" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT97,Lock sticky bit97" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT96,Lock sticky bit96" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT95,Lock sticky bit95" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT94,Lock sticky bit94" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT93,Lock sticky bit93" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT92,Lock sticky bit92" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT91,Lock sticky bit91" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT90,Lock sticky bit90" "0,1" group.long 0x88C++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_4,OTP Controller Lock Sticky Register4" bitfld.long 0x00 29. "LOCK_STICKY_BIT149,Lock sticky bit149" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT148,Lock sticky bit148" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT147,Lock sticky bit147" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT146,Lock sticky bit146" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT145,Lock sticky bit145" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT144,Lock sticky bit144" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT143,Lock sticky bit143" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT142,Lock sticky bit142" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT141,Lock sticky bit141" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT140,Lock sticky bit140" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT139,Lock sticky bit139" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT138,Lock sticky bit138" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT137,Lock sticky bit137" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT136,Lock sticky bit136" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT135,Lock sticky bit135" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT134,Lock sticky bit134" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT133,Lock sticky bit133" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT132,Lock sticky bit132" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT131,Lock sticky bit131" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT130,Lock sticky bit130" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT129,Lock sticky bit129" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT128,Lock sticky bit128" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT127,Lock sticky bit127" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT126,Lock sticky bit126" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT125,Lock sticky bit125" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT124,Lock sticky bit124" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT123,Lock sticky bit123" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT122,Lock sticky bit122" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT121,Lock sticky bit121" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT120,Lock sticky bit120" "0,1" group.long 0x890++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_5,OTP Controller Lock Sticky Register5" bitfld.long 0x00 29. "LOCK_STICKY_BIT179,Lock sticky bit179" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT178,Lock sticky bit178" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT177,Lock sticky bit177" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT176,Lock sticky bit176" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT175,Lock sticky bit175" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT174,Lock sticky bit174" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT173,Lock sticky bit173" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT172,Lock sticky bit172" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT171,Lock sticky bit171" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT170,Lock sticky bit170" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT169,Lock sticky bit169" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT168,Lock sticky bit168" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT167,Lock sticky bit167" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT166,Lock sticky bit166" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT165,Lock sticky bit165" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT164,Lock sticky bit164" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT163,Lock sticky bit163" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT162,Lock sticky bit162" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT161,Lock sticky bit161" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT160,Lock sticky bit160" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT159,Lock sticky bit159" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT158,Lock sticky bit158" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT157,Lock sticky bit157" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT156,Lock sticky bit156" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT155,Lock sticky bit155" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT154,Lock sticky bit154" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT153,Lock sticky bit153" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT152,Lock sticky bit152" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT151,Lock sticky bit151" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT150,Lock sticky bit150" "0,1" group.long 0x894++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_6,OTP Controller Lock Sticky Register6" bitfld.long 0x00 29. "LOCK_STICKY_BIT209,Lock sticky bit209" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT208,Lock sticky bit208" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT207,Lock sticky bit207" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT206,Lock sticky bit206" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT205,Lock sticky bit205" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT204,Lock sticky bit204" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT203,Lock sticky bit203" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT202,Lock sticky bit202" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT201,Lock sticky bit201" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT200,Lock sticky bit200" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT199,Lock sticky bit199" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT198,Lock sticky bit198" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT197,Lock sticky bit197" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT196,Lock sticky bit196" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT195,Lock sticky bit195" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT194,Lock sticky bit194" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT193,Lock sticky bit193" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT192,Lock sticky bit192" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT191,Lock sticky bit191" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT190,Lock sticky bit190" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT189,Lock sticky bit189" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT188,Lock sticky bit188" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT187,Lock sticky bit187" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT186,Lock sticky bit186" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT185,Lock sticky bit185" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT184,Lock sticky bit184" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT183,Lock sticky bit183" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT182,Lock sticky bit182" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT181,Lock sticky bit181" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT180,Lock sticky bit180" "0,1" group.long 0x898++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_7,OTP Controller Lock Sticky Register7" bitfld.long 0x00 29. "LOCK_STICKY_BIT239,Lock sticky bit239" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT238,Lock sticky bit238" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT237,Lock sticky bit237" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT236,Lock sticky bit236" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT235,Lock sticky bit235" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT234,Lock sticky bit234" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT233,Lock sticky bit233" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT232,Lock sticky bit232" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT231,Lock sticky bit231" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT230,Lock sticky bit230" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT229,Lock sticky bi229" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT228,Lock sticky bit228" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT227,Lock sticky bit227" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT226,Lock sticky bit226" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT225,Lock sticky bit225" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT224,Lock sticky bit224" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT223,Lock sticky bit223" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT222,Lock sticky bit222" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT221,Lock sticky bit221" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT220,Lock sticky bit220" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT219,Lock sticky bit219" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT218,Lock sticky bit218" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT217,Lock sticky bit217" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT216,Lock sticky bit216" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT215,Lock sticky bit215" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT214,Lock sticky bit214" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT213,Lock sticky bit213" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT212,Lock sticky bit212" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT211,Lock sticky bit211" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT210,Lock sticky bit210" "0,1" group.long 0x89C++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_8,OTP Controller Lock Sticky Register8" bitfld.long 0x00 29. "LOCK_STICKY_BIT269,Lock sticky bit269" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT268,Lock sticky bit268" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT267,Lock sticky bit267" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT266,Lock sticky bit266" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT265,Lock sticky bit265" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT264,Lock sticky bit264" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT263,Lock sticky bit263" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT262,Lock sticky bit262" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT261,Lock sticky bit261" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT260,Lock sticky bit260" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT259,Lock sticky bit259" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT258,Lock sticky bit258" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT257,Lock sticky bit257" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT256,Lock sticky bit256" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT255,Lock sticky bit255" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT254,Lock sticky bit254" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT253,Lock sticky bit253" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT252,Lock sticky bit252" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT251,Lock sticky bit251" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT250,Lock sticky bit250" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT249,Lock sticky bit249" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT248,Lock sticky bit248" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT247,Lock sticky bit247" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT246,Lock sticky bit246" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT245,Lock sticky bit245" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT244,Lock sticky bit244" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT243,Lock sticky bit243" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT242,Lock sticky bit242" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT241,Lock sticky bit241" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT240,Lock sticky bit240" "0,1" group.long 0x8A0++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_9,OTP Controller Lock Sticky Register9" bitfld.long 0x00 29. "LOCK_STICKY_BIT299,Lock sticky bit299" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT298,Lock sticky bit298" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT297,Lock sticky bit297" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT296,Lock sticky bit296" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT295,Lock sticky bit295" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT294,Lock sticky bit294" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT293,Lock sticky bit293" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT292,Lock sticky bit292" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT291,Lock sticky bit291" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT290,Lock sticky bit290" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT289,Lock sticky bit289" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT288,Lock sticky bit288" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT287,Lock sticky bit287" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT286,Lock sticky bit286" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT285,Lock sticky bit285" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT284,Lock sticky bit284" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT283,Lock sticky bit283" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT282,Lock sticky bit282" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT281,Lock sticky bit281" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT280,Lock sticky bit280" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT279,Lock sticky bit279" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT278,Lock sticky bit278" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT277,Lock sticky bit277" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT276,Lock sticky bit276" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT275,Lock sticky bit275" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT274,Lock sticky bit274" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT273,Lock sticky bit273" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT272,Lock sticky bit272" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT271,Lock sticky bit271" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT270,Lock sticky bit270" "0,1" group.long 0x8A4++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_10,OTP Controller Lock Sticky Register10" bitfld.long 0x00 29. "LOCK_STICKY_BIT329,Lock sticky bit329" "0,1" bitfld.long 0x00 28. "LOCK_STICKY_BIT328,Lock sticky bit328" "0,1" bitfld.long 0x00 27. "LOCK_STICKY_BIT327,Lock sticky bit327" "0,1" newline bitfld.long 0x00 26. "LOCK_STICKY_BIT326,Lock sticky bit326" "0,1" bitfld.long 0x00 25. "LOCK_STICKY_BIT325,Lock sticky bit325" "0,1" bitfld.long 0x00 24. "LOCK_STICKY_BIT324,Lock sticky bit324" "0,1" newline bitfld.long 0x00 23. "LOCK_STICKY_BIT323,Lock sticky bit323" "0,1" bitfld.long 0x00 22. "LOCK_STICKY_BIT322,Lock sticky bit322" "0,1" bitfld.long 0x00 21. "LOCK_STICKY_BIT321,Lock sticky bit321" "0,1" newline bitfld.long 0x00 20. "LOCK_STICKY_BIT320,Lock sticky bit320" "0,1" bitfld.long 0x00 19. "LOCK_STICKY_BIT319,Lock sticky bit319" "0,1" bitfld.long 0x00 18. "LOCK_STICKY_BIT318,Lock sticky bit318" "0,1" newline bitfld.long 0x00 17. "LOCK_STICKY_BIT317,Lock sticky bit317" "0,1" bitfld.long 0x00 16. "LOCK_STICKY_BIT316,Lock sticky bit316" "0,1" bitfld.long 0x00 15. "LOCK_STICKY_BIT315,Lock sticky bit315" "0,1" newline bitfld.long 0x00 14. "LOCK_STICKY_BIT314,Lock sticky bit314" "0,1" bitfld.long 0x00 13. "LOCK_STICKY_BIT313,Lock sticky bit313" "0,1" bitfld.long 0x00 12. "LOCK_STICKY_BIT312,Lock sticky bit312" "0,1" newline bitfld.long 0x00 11. "LOCK_STICKY_BIT311,Lock sticky bit311" "0,1" bitfld.long 0x00 10. "LOCK_STICKY_BIT310,Lock sticky bit310" "0,1" bitfld.long 0x00 9. "LOCK_STICKY_BIT309,Lock sticky bit309" "0,1" newline bitfld.long 0x00 8. "LOCK_STICKY_BIT308,Lock sticky bit308" "0,1" bitfld.long 0x00 7. "LOCK_STICKY_BIT307,Lock sticky bit307" "0,1" bitfld.long 0x00 6. "LOCK_STICKY_BIT306,Lock sticky bit306" "0,1" newline bitfld.long 0x00 5. "LOCK_STICKY_BIT305,Lock sticky bit305" "0,1" bitfld.long 0x00 4. "LOCK_STICKY_BIT304,Lock sticky bit304" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT303,Lock sticky bit303" "0,1" newline bitfld.long 0x00 2. "LOCK_STICKY_BIT302,Lock sticky bit302" "0,1" bitfld.long 0x00 1. "LOCK_STICKY_BIT301,Lock sticky bit301" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT300,Lock sticky bit300" "0,1" group.long 0x8A8++0x03 line.long 0x00 "HW_OCOTP_LOCK_STICKY_11,OTP Controller Lock Sticky Register11" bitfld.long 0x00 4. "LOCK_STICKY_BIT334,Lock sticky bit334" "0,1" bitfld.long 0x00 3. "LOCK_STICKY_BIT333,Lock sticky bit333" "0,1" bitfld.long 0x00 2. "LOCK_STICKY_BIT332,Lock sticky bit332" "0,1" newline bitfld.long 0x00 1. "LOCK_STICKY_BIT331,Lock sticky bit331" "0,1" bitfld.long 0x00 0. "LOCK_STICKY_BIT330,Lock sticky bit330" "0,1" group.long 0x8AC++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_0,OTP Controller Redundancy Value Register0" hexmask.long.word 0x00 16.--31. 1. "RF1,RF1 Value" hexmask.long.word 0x00 0.--15. 1. "RF0,RF0 Value" group.long 0x8B0++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_1,OTP Controller Redundancy Value Register1" hexmask.long.word 0x00 16.--31. 1. "RF3,RF3 Value" hexmask.long.word 0x00 0.--15. 1. "RF2,RF2 Value" group.long 0x8B4++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_2,OTP Controller Redundancy Value Register2" hexmask.long.word 0x00 16.--31. 1. "RF5,RF5 Value" hexmask.long.word 0x00 0.--15. 1. "RF4,RF4 Value" group.long 0x8B8++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_3,OTP Controller Redundancy Value Register3" hexmask.long.word 0x00 16.--31. 1. "RF7,RF7 Value" hexmask.long.word 0x00 0.--15. 1. "RF6,RF6 Value" group.long 0x8BC++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_4,OTP Controller Redundancy Value Register4" hexmask.long.word 0x00 16.--31. 1. "RF9,RF9 Value" hexmask.long.word 0x00 0.--15. 1. "RF8,RF8 Value" group.long 0x8C0++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_5,OTP Controller Redundancy Value Register5" hexmask.long.word 0x00 16.--31. 1. "RF11,RF11 Value" hexmask.long.word 0x00 0.--15. 1. "RF10,RF10 Value" group.long 0x8C4++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_6,OTP Controller Redundancy Value Register6" hexmask.long.word 0x00 16.--31. 1. "RF13,RF13 Value" hexmask.long.word 0x00 0.--15. 1. "RF12,RF12 Value" group.long 0x8C8++0x03 line.long 0x00 "HW_OCOTP_REDUNDANCY_VALUE_7,OTP Controller Redundancy Value Register7" hexmask.long.word 0x00 16.--31. 1. "RF15,RF15 Value" hexmask.long.word 0x00 0.--15. 1. "RF14,RF14 Value" group.long 0x8CC++0x03 line.long 0x00 "HW_OCOTP_TEST_VALUE,OTP Controller Test Value Register" hexmask.long 0x00 0.--31. 1. "TEST_VALUE,Test value" tree.end tree "OCRAM_MECC (MECC256)" base ad:0x490A0000 group.long 0x00++0x03 line.long 0x00 "SINGLE_ERR_STATUS,Error Interrupt Status Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline eventfld.long 0x00 15. "SINGLE_ERR15,Ocram Access Error On Bank3" "0: Ocram access error does not happen on ocram..,1: Ocram access error happens on ocram bank3" newline eventfld.long 0x00 14. "SINGLE_ERR14,Ocram Access Error On Bank2" "0: Ocram access error does not happen on ocram..,1: Ocram access error happens on ocram bank2" newline eventfld.long 0x00 13. "SINGLE_ERR13,Ocram Access Error On Bank1" "0: Ocram access error does not happen on ocram..,1: Ocram access error happens on ocram bank1" newline eventfld.long 0x00 12. "SINGLE_ERR12,Ocram Access Error On Bank0" "0: Ocram access error does not happen on ocram..,1: Ocram access error happens on ocram bank0" newline eventfld.long 0x00 11. "SINGLE_ERR11,AXI Strobe Error On Ocram Bank3" "0: AXI strobe error does not happen on ocram bank3,1: AXI strobe error happens on ocram bank3" newline eventfld.long 0x00 10. "SINGLE_ERR10,AXI Strobe Error On Ocram Bank2" "0: AXI strobe error does not happen on ocram bank2,1: AXI strobe error happens on ocram bank2" newline eventfld.long 0x00 9. "SINGLE_ERR9,AXI Strobe Error On Ocram Bank1" "0: AXI strobe error does not happen on ocram bank1,1: AXI strobe error happens on ocram bank1" newline eventfld.long 0x00 8. "SINGLE_ERR8,AXI Strobe Error On Ocram Bank0" "0: AXI strobe error does not happen on ocram bank0,1: AXI strobe error happens on ocram bank0" newline eventfld.long 0x00 7. "SINGLE_ERR7,Multiple Bits Error On Ocram Bank3" "0: Multiple bits error does not happen on ocram..,1: Multiple bits error happens on ocram bank3" newline eventfld.long 0x00 6. "SINGLE_ERR6,Multiple Bits Error On Ocram Bank2" "0: Multiple bits error does not happen on ocram..,1: Multiple bits error happens on ocram bank2" newline eventfld.long 0x00 5. "SINGLE_ERR5,Multiple Bits Error On Ocram Bank1" "0: Multiple bits error does not happen on ocram..,1: Multiple bits error happens on ocram bank1" newline eventfld.long 0x00 4. "SINGLE_ERR4,Multiple Bits Error On Ocram Bank0" "0: Multiple bits error does not happen on ocram..,1: Multiple bits error happens on ocram bank0" newline eventfld.long 0x00 3. "SINGLE_ERR3,Single Bit Error On Ocram Bank3" "0: Single bit error does not happen on ocram bank3,1: Single bit error happens on ocram bank3" newline eventfld.long 0x00 2. "SINGLE_ERR2,Single Bit Error On Ocram Bank2" "0: Single bit error does not happen on ocram bank2,1: Single bit error happens on ocram bank2" newline eventfld.long 0x00 1. "SINGLE_ERR1,Single Bit Error On Ocram Bank1" "0: Single bit error does not happen on ocram bank1,1: Single bit error happens on ocram bank1" newline eventfld.long 0x00 0. "SINGLE_ERR0,Single Bit Error On Ocram Bank0" "0: Single bit error does not happen on ocram bank0,1: Single bit error happens on ocram bank0" group.long 0x04++0x03 line.long 0x00 "MULTI_ERR_STATUS,MULTI Error Interrupt Status Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline eventfld.long 0x00 15. "MULTI_ERR15,no description available" "0,1" newline eventfld.long 0x00 14. "MULTI_ERR14,no description available" "0,1" newline eventfld.long 0x00 13. "MULTI_ERR13,no description available" "0,1" newline eventfld.long 0x00 12. "MULTI_ERR12,no description available" "0,1" newline eventfld.long 0x00 11. "MULTI_ERR11,no description available" "0,1" newline eventfld.long 0x00 10. "MULTI_ERR10,no description available" "0,1" newline eventfld.long 0x00 9. "MULTI_ERR9,no description available" "0,1" newline eventfld.long 0x00 8. "MULTI_ERR8,no description available" "0,1" newline eventfld.long 0x00 7. "MULTI_ERR7,no description available" "0,1" newline eventfld.long 0x00 6. "MULTI_ERR6,no description available" "0,1" newline eventfld.long 0x00 5. "MULTI_ERR5,no description available" "0,1" newline eventfld.long 0x00 4. "MULTI_ERR4,no description available" "0,1" newline eventfld.long 0x00 3. "MULTI_ERR3,no description available" "0,1" newline eventfld.long 0x00 2. "MULTI_ERR2,no description available" "0,1" newline eventfld.long 0x00 1. "MULTI_ERR1,no description available" "0,1" newline eventfld.long 0x00 0. "MULTI_ERR0,no description available" "0,1" group.long 0x08++0x03 line.long 0x00 "STRB_ERR_STATUS,STRB Error Interrupt Status Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline eventfld.long 0x00 15. "STRB_ERR15,no description available" "0,1" newline eventfld.long 0x00 14. "STRB_ERR14,no description available" "0,1" newline eventfld.long 0x00 13. "STRB_ERR13,no description available" "0,1" newline eventfld.long 0x00 12. "STRB_ERR12,no description available" "0,1" newline eventfld.long 0x00 11. "STRB_ERR11,no description available" "0,1" newline eventfld.long 0x00 10. "STRB_ERR10,no description available" "0,1" newline eventfld.long 0x00 9. "STRB_ERR9,no description available" "0,1" newline eventfld.long 0x00 8. "STRB_ERR8,no description available" "0,1" newline eventfld.long 0x00 7. "STRB_ERR7,no description available" "0,1" newline eventfld.long 0x00 6. "STRB_ERR6,no description available" "0,1" newline eventfld.long 0x00 5. "STRB_ERR5,no description available" "0,1" newline eventfld.long 0x00 4. "STRB_ERR4,no description available" "0,1" newline eventfld.long 0x00 3. "STRB_ERR3,no description available" "0,1" newline eventfld.long 0x00 2. "STRB_ERR2,no description available" "0,1" newline eventfld.long 0x00 1. "STRB_ERR1,no description available" "0,1" newline eventfld.long 0x00 0. "STRB_ERR0,no description available" "0,1" group.long 0x0C++0x03 line.long 0x00 "ADDR_ERR_STATUS,ADDR Error Interrupt Status Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline eventfld.long 0x00 15. "ADDR_ERR15,no description available" "0,1" newline eventfld.long 0x00 14. "ADDR_ERR14,no description available" "0,1" newline eventfld.long 0x00 13. "ADDR_ERR13,no description available" "0,1" newline eventfld.long 0x00 12. "ADDR_ERR12,no description available" "0,1" newline eventfld.long 0x00 11. "ADDR_ERR11,no description available" "0,1" newline eventfld.long 0x00 10. "ADDR_ERR10,no description available" "0,1" newline eventfld.long 0x00 9. "ADDR_ERR9,no description available" "0,1" newline eventfld.long 0x00 8. "ADDR_ERR8,no description available" "0,1" newline eventfld.long 0x00 7. "ADDR_ERR7,no description available" "0,1" newline eventfld.long 0x00 6. "ADDR_ERR6,no description available" "0,1" newline eventfld.long 0x00 5. "ADDR_ERR5,no description available" "0,1" newline eventfld.long 0x00 4. "ADDR_ERR4,no description available" "0,1" newline eventfld.long 0x00 3. "ADDR_ERR3,no description available" "0,1" newline eventfld.long 0x00 2. "ADDR_ERR2,no description available" "0,1" newline eventfld.long 0x00 1. "ADDR_ERR1,no description available" "0,1" newline eventfld.long 0x00 0. "ADDR_ERR0,no description available" "0,1" group.long 0x10++0x03 line.long 0x00 "SINGLE_ERR_STAT_EN,Single Error Interrupt Status Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "SINGLE_ERR15_STAT_EN,Ocram Access Error Status Enable On Bank3" "0: SINGLE_ERR15_STAT_EN_0,1: SINGLE_ERR15_STAT_EN_1" newline bitfld.long 0x00 14. "SINGLE_ERR14_STAT_EN,Ocram Access Error Status Enable On Bank2" "0: SINGLE_ERR14_STAT_EN_0,1: SINGLE_ERR14_STAT_EN_1" newline bitfld.long 0x00 13. "SINGLE_ERR13_STAT_EN,Ocram Access Error Status Enable On Bank1" "0: SINGLE_ERR13_STAT_EN_0,1: SINGLE_ERR13_STAT_EN_1" newline bitfld.long 0x00 12. "SINGLE_ERR12_STAT_EN,Ocram Access Error Status Enable On Bank0" "0: SINGLE_ERR12_STAT_EN_0,1: SINGLE_ERR12_STAT_EN_1" newline bitfld.long 0x00 11. "SINGLE_ERR11_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank3" "0: SINGLE_ERR11_STAT_EN_0,1: SINGLE_ERR11_STAT_EN_1" newline bitfld.long 0x00 10. "SINGLE_ERR10_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank2" "0: SINGLE_ERR10_STAT_EN_0,1: SINGLE_ERR10_STAT_EN_1" newline bitfld.long 0x00 9. "SINGLE_ERR9_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank1" "0: SINGLE_ERR9_STAT_EN_0,1: SINGLE_ERR9_STAT_EN_1" newline bitfld.long 0x00 8. "SINGLE_ERR8_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank0" "0: SINGLE_ERR8_STAT_EN_0,1: SINGLE_ERR8_STAT_EN_1" newline bitfld.long 0x00 7. "SINGLE_ERR7_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank3" "0: SINGLE_ERR7_STAT_EN_0,1: SINGLE_ERR7_STAT_EN_1" newline bitfld.long 0x00 6. "SINGLE_ERR6_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank2" "0: SINGLE_ERR6_STAT_EN_0,1: SINGLE_ERR6_STAT_EN_1" newline bitfld.long 0x00 5. "SINGLE_ERR5_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank1" "0: SINGLE_ERR5_STAT_EN_0,1: SINGLE_ERR5_STAT_EN_1" newline bitfld.long 0x00 4. "SINGLE_ERR4_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank0" "0: SINGLE_ERR4_STAT_EN_0,1: SINGLE_ERR4_STAT_EN_1" newline bitfld.long 0x00 3. "SINGLE_ERR3_STAT_EN,Single Bit Error Status Enable On Ocram Bank3" "0: SINGLE_ERR3_STAT_EN_0,1: SINGLE_ERR3_STAT_EN_1" newline bitfld.long 0x00 2. "SINGLE_ERR2_STAT_EN,Single Bit Error Status Enable On Ocram Bank2" "0: SINGLE_ERR2_STAT_EN_0,1: SINGLE_ERR2_STAT_EN_1" newline bitfld.long 0x00 1. "SINGLE_ERR1_STAT_EN,Single Bit Error Status Enable On Ocram Bank1" "0: SINGLE_ERR1_STAT_EN_0,1: SINGLE_ERR1_STAT_EN_1" newline bitfld.long 0x00 0. "SINGLE_ERR0_STAT_EN,Single Bit Error Status Enable On Ocram Bank0" "0: SINGLE_ERR0_STAT_EN_0,1: SINGLE_ERR0_STAT_EN_1" group.long 0x14++0x03 line.long 0x00 "MULTI_ERR_STAT_EN,MULTI Error Interrupt Status Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "MULTI_ERR15_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank3" "0: MULTI_ERR15_STAT_EN_0,1: MULTI_ERR15_STAT_EN_1" newline bitfld.long 0x00 14. "MULTI_ERR14_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank2" "0: MULTI_ERR14_STAT_EN_0,1: MULTI_ERR14_STAT_EN_1" newline bitfld.long 0x00 13. "MULTI_ERR13_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank1" "0: MULTI_ERR13_STAT_EN_0,1: MULTI_ERR13_STAT_EN_1" newline bitfld.long 0x00 12. "MULTI_ERR12_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank0" "0: MULTI_ERR12_STAT_EN_0,1: MULTI_ERR12_STAT_EN_1" newline bitfld.long 0x00 11. "MULTI_ERR11_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank3" "0: MULTI_ERR11_STAT_EN_0,1: MULTI_ERR11_STAT_EN_1" newline bitfld.long 0x00 10. "MULTI_ERR10_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank2" "0: MULTI_ERR10_STAT_EN_0,1: MULTI_ERR10_STAT_EN_1" newline bitfld.long 0x00 9. "MULTI_ERR9_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank1" "0: MULTI_ERR9_STAT_EN_0,1: MULTI_ERR9_STAT_EN_1" newline bitfld.long 0x00 8. "MULTI_ERR8_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank0" "0: MULTI_ERR8_STAT_EN_0,1: MULTI_ERR8_STAT_EN_1" newline bitfld.long 0x00 7. "MULTI_ERR7_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank3" "0: MULTI_ERR7_STAT_EN_0,1: MULTI_ERR7_STAT_EN_1" newline bitfld.long 0x00 6. "MULTI_ERR6_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank2" "0: MULTI_ERR6_STAT_EN_0,1: MULTI_ERR6_STAT_EN_1" newline bitfld.long 0x00 5. "MULTI_ERR5_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank1" "0: MULTI_ERR5_STAT_EN_0,1: MULTI_ERR5_STAT_EN_1" newline bitfld.long 0x00 4. "MULTI_ERR4_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank0" "0: MULTI_ERR4_STAT_EN_0,1: MULTI_ERR4_STAT_EN_1" newline bitfld.long 0x00 3. "MULTI_ERR3_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank3" "0: MULTI_ERR3_STAT_EN_0,1: MULTI_ERR3_STAT_EN_1" newline bitfld.long 0x00 2. "MULTI_ERR2_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank2" "0: MULTI_ERR2_STAT_EN_0,1: MULTI_ERR2_STAT_EN_1" newline bitfld.long 0x00 1. "MULTI_ERR1_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank1" "0: MULTI_ERR1_STAT_EN_0,1: MULTI_ERR1_STAT_EN_1" newline bitfld.long 0x00 0. "MULTI_ERR0_STAT_EN,Multiple Bits Error Status Enable On Ocram Bank0" "0: MULTI_ERR0_STAT_EN_0,1: MULTI_ERR0_STAT_EN_1" group.long 0x18++0x03 line.long 0x00 "STRB_ERR_STAT_EN,STRB Error Interrupt Status Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "STRB_ERR15_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank3" "0: STRB_ERR15_STAT_EN_0,1: STRB_ERR15_STAT_EN_1" newline bitfld.long 0x00 14. "STRB_ERR14_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank2" "0: STRB_ERR14_STAT_EN_0,1: STRB_ERR14_STAT_EN_1" newline bitfld.long 0x00 13. "STRB_ERR13_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank1" "0: STRB_ERR13_STAT_EN_0,1: STRB_ERR13_STAT_EN_1" newline bitfld.long 0x00 12. "STRB_ERR12_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank0" "0: STRB_ERR12_STAT_EN_0,1: STRB_ERR12_STAT_EN_1" newline bitfld.long 0x00 11. "STRB_ERR11_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank3" "0: STRB_ERR11_STAT_EN_0,1: STRB_ERR11_STAT_EN_1" newline bitfld.long 0x00 10. "STRB_ERR10_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank2" "0: STRB_ERR10_STAT_EN_0,1: STRB_ERR10_STAT_EN_1" newline bitfld.long 0x00 9. "STRB_ERR9_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank1" "0: STRB_ERR9_STAT_EN_0,1: STRB_ERR9_STAT_EN_1" newline bitfld.long 0x00 8. "STRB_ERR8_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank0" "0: STRB_ERR8_STAT_EN_0,1: STRB_ERR8_STAT_EN_1" newline bitfld.long 0x00 7. "STRB_ERR7_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank3" "0: STRB_ERR7_STAT_EN_0,1: STRB_ERR7_STAT_EN_1" newline bitfld.long 0x00 6. "STRB_ERR6_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank2" "0: STRB_ERR6_STAT_EN_0,1: STRB_ERR6_STAT_EN_1" newline bitfld.long 0x00 5. "STRB_ERR5_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank1" "0: STRB_ERR5_STAT_EN_0,1: STRB_ERR5_STAT_EN_1" newline bitfld.long 0x00 4. "STRB_ERR4_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank0" "0: STRB_ERR4_STAT_EN_0,1: STRB_ERR4_STAT_EN_1" newline bitfld.long 0x00 3. "STRB_ERR3_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank3" "0: STRB_ERR3_STAT_EN_0,1: STRB_ERR3_STAT_EN_1" newline bitfld.long 0x00 2. "STRB_ERR2_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank2" "0: STRB_ERR2_STAT_EN_0,1: STRB_ERR2_STAT_EN_1" newline bitfld.long 0x00 1. "STRB_ERR1_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank1" "0: STRB_ERR1_STAT_EN_0,1: STRB_ERR1_STAT_EN_1" newline bitfld.long 0x00 0. "STRB_ERR0_STAT_EN,AXI Strobe Error Status Enable On Ocram Bank0" "0: STRB_ERR0_STAT_EN_0,1: STRB_ERR0_STAT_EN_1" group.long 0x1C++0x03 line.long 0x00 "ADDR_ERR_STAT_EN,ADDR Error Interrupt Status Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "ADDR_ERR15_STAT_EN,Ocram Access Error Status Enable On Bank3" "0: ADDR_ERR15_STAT_EN_0,1: ADDR_ERR15_STAT_EN_1" newline bitfld.long 0x00 14. "ADDR_ERR14_STAT_EN,Ocram Access Error Status Enable On Bank2" "0: ADDR_ERR14_STAT_EN_0,1: ADDR_ERR14_STAT_EN_1" newline bitfld.long 0x00 13. "ADDR_ERR13_STAT_EN,Ocram Access Error Status Enable On Bank1" "0: ADDR_ERR13_STAT_EN_0,1: ADDR_ERR13_STAT_EN_1" newline bitfld.long 0x00 12. "ADDR_ERR12_STAT_EN,Ocram Access Error Status Enable On Bank0" "0: ADDR_ERR12_STAT_EN_0,1: ADDR_ERR12_STAT_EN_1" newline bitfld.long 0x00 11. "ADDR_ERR11_STAT_EN,Ocram Access Error Status Enable On Bank3" "0: ADDR_ERR11_STAT_EN_0,1: ADDR_ERR11_STAT_EN_1" newline bitfld.long 0x00 10. "ADDR_ERR10_STAT_EN,Ocram Access Error Status Enable On Bank2" "0: ADDR_ERR10_STAT_EN_0,1: ADDR_ERR10_STAT_EN_1" newline bitfld.long 0x00 9. "ADDR_ERR9_STAT_EN,Ocram Access Error Status Enable On Bank1" "0: ADDR_ERR9_STAT_EN_0,1: ADDR_ERR9_STAT_EN_1" newline bitfld.long 0x00 8. "ADDR_ERR8_STAT_EN,Ocram Access Error Status Enable On Bank0" "0: ADDR_ERR8_STAT_EN_0,1: ADDR_ERR8_STAT_EN_1" newline bitfld.long 0x00 7. "ADDR_ERR7_STAT_EN,Ocram Access Error Status Enable On Bank3" "0: ADDR_ERR7_STAT_EN_0,1: ADDR_ERR7_STAT_EN_1" newline bitfld.long 0x00 6. "ADDR_ERR6_STAT_EN,Ocram Access Error Status Enable On Bank2" "0: ADDR_ERR6_STAT_EN_0,1: ADDR_ERR6_STAT_EN_1" newline bitfld.long 0x00 5. "ADDR_ERR5_STAT_EN,Ocram Access Error Status Enable On Bank1" "0: ADDR_ERR5_STAT_EN_0,1: ADDR_ERR5_STAT_EN_1" newline bitfld.long 0x00 4. "ADDR_ERR4_STAT_EN,Ocram Access Error Status Enable On Bank0" "0: ADDR_ERR4_STAT_EN_0,1: ADDR_ERR4_STAT_EN_1" newline bitfld.long 0x00 3. "ADDR_ERR3_STAT_EN,Ocram Access Error Status Enable On Bank3" "0: ADDR_ERR3_STAT_EN_0,1: ADDR_ERR3_STAT_EN_1" newline bitfld.long 0x00 2. "ADDR_ERR2_STAT_EN,Ocram Access Error Status Enable On Bank2" "0: ADDR_ERR2_STAT_EN_0,1: ADDR_ERR2_STAT_EN_1" newline bitfld.long 0x00 1. "ADDR_ERR1_STAT_EN,Ocram Access Error Status Enable On Bank1" "0: ADDR_ERR1_STAT_EN_0,1: ADDR_ERR1_STAT_EN_1" newline bitfld.long 0x00 0. "ADDR_ERR0_STAT_EN,Ocram Access Error Status Enable On Bank0" "0: ADDR_ERR0_STAT_EN_0,1: ADDR_ERR0_STAT_EN_1" group.long 0x20++0x03 line.long 0x00 "SINGLE_ERR_SIG_EN,Single Error Interrupt Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "SINGLE_ERR15_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank3" "0: SINGLE_ERR15_SIG_EN_0,1: SINGLE_ERR15_SIG_EN_1" newline bitfld.long 0x00 14. "SINGLE_ERR14_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank2" "0: SINGLE_ERR14_SIG_EN_0,1: SINGLE_ERR14_SIG_EN_1" newline bitfld.long 0x00 13. "SINGLE_ERR13_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank1" "0: SINGLE_ERR13_SIG_EN_0,1: SINGLE_ERR13_SIG_EN_1" newline bitfld.long 0x00 12. "SINGLE_ERR12_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank0" "0: SINGLE_ERR12_SIG_EN_0,1: SINGLE_ERR12_SIG_EN_1" newline bitfld.long 0x00 11. "SINGLE_ERR11_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank3" "0: SINGLE_ERR11_SIG_EN_0,1: SINGLE_ERR11_SIG_EN_1" newline bitfld.long 0x00 10. "SINGLE_ERR10_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank2" "0: SINGLE_ERR10_SIG_EN_0,1: SINGLE_ERR10_SIG_EN_1" newline bitfld.long 0x00 9. "SINGLE_ERR9_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank3" "0: SINGLE_ERR9_SIG_EN_0,1: SINGLE_ERR9_SIG_EN_1" newline bitfld.long 0x00 8. "SINGLE_ERR8_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank2" "0: SINGLE_ERR8_SIG_EN_0,1: SINGLE_ERR8_SIG_EN_1" newline bitfld.long 0x00 7. "SINGLE_ERR7_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank1" "0: SINGLE_ERR7_SIG_EN_0,1: SINGLE_ERR7_SIG_EN_1" newline bitfld.long 0x00 6. "SINGLE_ERR6_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank0" "0: SINGLE_ERR6_SIG_EN_0,1: SINGLE_ERR6_SIG_EN_1" newline bitfld.long 0x00 5. "SINGLE_ERR5_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank3" "0: SINGLE_ERR5_SIG_EN_0,1: SINGLE_ERR5_SIG_EN_1" newline bitfld.long 0x00 4. "SINGLE_ERR4_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank2" "0: SINGLE_ERR4_SIG_EN_0,1: SINGLE_ERR4_SIG_EN_1" newline bitfld.long 0x00 3. "SINGLE_ERR3_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank1" "0: SINGLE_ERR3_SIG_EN_0,1: SINGLE_ERR3_SIG_EN_1" newline bitfld.long 0x00 2. "SINGLE_ERR2_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank0" "0: SINGLE_ERR2_SIG_EN_0,1: SINGLE_ERR2_SIG_EN_1" newline bitfld.long 0x00 1. "SINGLE_ERR1_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank1" "0: SINGLE_ERR1_SIG_EN_0,1: SINGLE_ERR1_SIG_EN_1" newline bitfld.long 0x00 0. "SINGLE_ERR0_SIG_EN,Single Bit Error Interrupt Enable On Ocram Bank0" "0: SINGLE_ERR0_SIG_EN_0,1: SINGLE_ERR0_SIG_EN_1" group.long 0x24++0x03 line.long 0x00 "MULTI_ERR_SIG_EN,MULTI Error Interrupt Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "MULTI_ERR15_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank3" "0: MULTI_ERR15_SIG_EN_0,1: MULTI_ERR15_SIG_EN_1" newline bitfld.long 0x00 14. "MULTI_ERR14_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank2" "0: MULTI_ERR14_SIG_EN_0,1: MULTI_ERR14_SIG_EN_1" newline bitfld.long 0x00 13. "MULTI_ERR13_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank1" "0: MULTI_ERR13_SIG_EN_0,1: MULTI_ERR13_SIG_EN_1" newline bitfld.long 0x00 12. "MULTI_ERR12_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank0" "0: MULTI_ERR12_SIG_EN_0,1: MULTI_ERR12_SIG_EN_1" newline bitfld.long 0x00 11. "MULTI_ERR11_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank3" "0: MULTI_ERR11_SIG_EN_0,1: MULTI_ERR11_SIG_EN_1" newline bitfld.long 0x00 10. "MULTI_ERR10_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank2" "0: MULTI_ERR10_SIG_EN_0,1: MULTI_ERR10_SIG_EN_1" newline bitfld.long 0x00 9. "MULTI_ERR9_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank1" "0: MULTI_ERR9_SIG_EN_0,1: MULTI_ERR9_SIG_EN_1" newline bitfld.long 0x00 8. "MULTI_ERR8_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank0" "0: MULTI_ERR8_SIG_EN_0,1: MULTI_ERR8_SIG_EN_1" newline bitfld.long 0x00 7. "MULTI_ERR7_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank3" "0: MULTI_ERR7_SIG_EN_0,1: MULTI_ERR7_SIG_EN_1" newline bitfld.long 0x00 6. "MULTI_ERR6_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank2" "0: MULTI_ERR6_SIG_EN_0,1: MULTI_ERR6_SIG_EN_1" newline bitfld.long 0x00 5. "MULTI_ERR5_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank1" "0: MULTI_ERR5_SIG_EN_0,1: MULTI_ERR5_SIG_EN_1" newline bitfld.long 0x00 4. "MULTI_ERR4_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank0" "0: MULTI_ERR4_SIG_EN_0,1: MULTI_ERR4_SIG_EN_1" newline bitfld.long 0x00 3. "MULTI_ERR3_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank3" "0: MULTI_ERR3_SIG_EN_0,1: MULTI_ERR3_SIG_EN_1" newline bitfld.long 0x00 2. "MULTI_ERR2_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank2" "0: MULTI_ERR2_SIG_EN_0,1: MULTI_ERR2_SIG_EN_1" newline bitfld.long 0x00 1. "MULTI_ERR1_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank1" "0: MULTI_ERR1_SIG_EN_0,1: MULTI_ERR1_SIG_EN_1" newline bitfld.long 0x00 0. "MULTI_ERR0_SIG_EN,Multiple Bits Error Interrupt Enable On Ocram Bank0" "0: MULTI_ERR0_SIG_EN_0,1: MULTI_ERR0_SIG_EN_1" group.long 0x28++0x03 line.long 0x00 "STRB_ERR_SIG_EN,STRB Error Interrupt Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "STRB_ERR15_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank3" "0: STRB_ERR15_SIG_EN_0,1: STRB_ERR15_SIG_EN_1" newline bitfld.long 0x00 14. "STRB_ERR14_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank2" "0: STRB_ERR14_SIG_EN_0,1: STRB_ERR14_SIG_EN_1" newline bitfld.long 0x00 13. "STRB_ERR13_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank1" "0: STRB_ERR13_SIG_EN_0,1: STRB_ERR13_SIG_EN_1" newline bitfld.long 0x00 12. "STRB_ERR12_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank0" "0: STRB_ERR12_SIG_EN_0,1: STRB_ERR12_SIG_EN_1" newline bitfld.long 0x00 11. "STRB_ERR11_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank3" "0: STRB_ERR11_SIG_EN_0,1: STRB_ERR11_SIG_EN_1" newline bitfld.long 0x00 10. "STRB_ERR10_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank2" "0: STRB_ERR10_SIG_EN_0,1: STRB_ERR10_SIG_EN_1" newline bitfld.long 0x00 9. "STRB_ERR9_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank1" "0: STRB_ERR9_SIG_EN_0,1: STRB_ERR9_SIG_EN_1" newline bitfld.long 0x00 8. "STRB_ERR8_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank0" "0: STRB_ERR8_SIG_EN_0,1: STRB_ERR8_SIG_EN_1" newline bitfld.long 0x00 7. "STRB_ERR7_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank3" "0: STRB_ERR7_SIG_EN_0,1: STRB_ERR7_SIG_EN_1" newline bitfld.long 0x00 6. "STRB_ERR6_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank2" "0: STRB_ERR6_SIG_EN_0,1: STRB_ERR6_SIG_EN_1" newline bitfld.long 0x00 5. "STRB_ERR5_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank1" "0: STRB_ERR5_SIG_EN_0,1: STRB_ERR5_SIG_EN_1" newline bitfld.long 0x00 4. "STRB_ERR4_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank0" "0: STRB_ERR4_SIG_EN_0,1: STRB_ERR4_SIG_EN_1" newline bitfld.long 0x00 3. "STRB_ERR3_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank3" "0: STRB_ERR3_SIG_EN_0,1: STRB_ERR3_SIG_EN_1" newline bitfld.long 0x00 2. "STRB_ERR2_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank2" "0: STRB_ERR2_SIG_EN_0,1: STRB_ERR2_SIG_EN_1" newline bitfld.long 0x00 1. "STRB_ERR1_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank1" "0: STRB_ERR1_SIG_EN_0,1: STRB_ERR1_SIG_EN_1" newline bitfld.long 0x00 0. "STRB_ERR0_SIG_EN,AXI Strobe Error Interrupt Enable On Ocram Bank0" "0: STRB_ERR0_SIG_EN_0,1: STRB_ERR0_SIG_EN_1" group.long 0x2C++0x03 line.long 0x00 "ADDR_ERR_SIG_EN,ADDR Error Interrupt Enable Register" hexmask.long.word 0x00 16.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 15. "ADDR_ERR15_SIG_EN,Ocram Access Error Interrupt Enable On Bank3" "0: ADDR_ERR15_SIG_EN_0,1: ADDR_ERR15_SIG_EN_1" newline bitfld.long 0x00 14. "ADDR_ERR14_SIG_EN,Ocram Access Error Interrupt Enable On Bank2" "0: ADDR_ERR14_SIG_EN_0,1: ADDR_ERR14_SIG_EN_1" newline bitfld.long 0x00 13. "ADDR_ERR13_SIG_EN,Ocram Access Error Interrupt Enable On Bank1" "0: ADDR_ERR13_SIG_EN_0,1: ADDR_ERR13_SIG_EN_1" newline bitfld.long 0x00 12. "ADDR_ERR12_SIG_EN,Ocram Access Error Interrupt Enable On Bank0" "0: ADDR_ERR12_SIG_EN_0,1: ADDR_ERR12_SIG_EN_1" newline bitfld.long 0x00 11. "ADDR_ERR11_SIG_EN,Ocram Access Error Interrupt Enable On Bank3" "0: ADDR_ERR11_SIG_EN_0,1: ADDR_ERR11_SIG_EN_1" newline bitfld.long 0x00 10. "ADDR_ERR10_SIG_EN,Ocram Access Error Interrupt Enable On Bank2" "0: ADDR_ERR10_SIG_EN_0,1: ADDR_ERR10_SIG_EN_1" newline bitfld.long 0x00 9. "ADDR_ERR9_SIG_EN,Ocram Access Error Interrupt Enable On Bank1" "0: ADDR_ERR9_SIG_EN_0,1: ADDR_ERR9_SIG_EN_1" newline bitfld.long 0x00 8. "ADDR_ERR8_SIG_EN,Ocram Access Error Interrupt Enable On Bank0" "0: ADDR_ERR8_SIG_EN_0,1: ADDR_ERR8_SIG_EN_1" newline bitfld.long 0x00 7. "ADDR_ERR7_SIG_EN,Ocram Access Error Interrupt Enable On Bank3" "0: ADDR_ERR7_SIG_EN_0,1: ADDR_ERR7_SIG_EN_1" newline bitfld.long 0x00 6. "ADDR_ERR6_SIG_EN,Ocram Access Error Interrupt Enable On Bank2" "0: ADDR_ERR6_SIG_EN_0,1: ADDR_ERR6_SIG_EN_1" newline bitfld.long 0x00 5. "ADDR_ERR5_SIG_EN,Ocram Access Error Interrupt Enable On Bank1" "0: ADDR_ERR5_SIG_EN_0,1: ADDR_ERR5_SIG_EN_1" newline bitfld.long 0x00 4. "ADDR_ERR4_SIG_EN,Ocram Access Error Interrupt Enable On Bank0" "0: ADDR_ERR4_SIG_EN_0,1: ADDR_ERR4_SIG_EN_1" newline bitfld.long 0x00 3. "ADDR_ERR3_SIG_EN,Ocram Access Error Interrupt Enable On Bank3" "0: ADDR_ERR3_SIG_EN_0,1: ADDR_ERR3_SIG_EN_1" newline bitfld.long 0x00 2. "ADDR_ERR2_SIG_EN,Ocram Access Error Interrupt Enable On Bank2" "0: ADDR_ERR2_SIG_EN_0,1: ADDR_ERR2_SIG_EN_1" newline bitfld.long 0x00 1. "ADDR_ERR1_SIG_EN,Ocram Access Error Interrupt Enable On Bank1" "0: ADDR_ERR1_SIG_EN_0,1: ADDR_ERR1_SIG_EN_1" newline bitfld.long 0x00 0. "ADDR_ERR0_SIG_EN,Ocram Access Error Interrupt Enable On Bank0" "0: ADDR_ERR0_SIG_EN_0,1: ADDR_ERR0_SIG_EN_1" group.long 0x30++0x03 line.long 0x00 "ERR_DATA_INJ_LOW0,Error Injection On Low 32 bits Of Ocram Bank0 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW0,Error Injection On Low 32 bits Of Ocram Bank0 Write Data" group.long 0x34++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH0,Error Injection On High 32 bits Of Ocram Bank0 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH0,Error Injection On High 32 bits Of Ocram Bank0 Write Data" group.long 0x38++0x03 line.long 0x00 "ERR_ECC_INJ0,Error Injection On 8 bits ECC code Of Ocram Bank0 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ0,Error Injection On 8 bits ECC code Of Ocram Bank0 Write Data" group.long 0x3C++0x03 line.long 0x00 "ERR_DATA_INJ_LOW1,Error Injection On Low 32 bits Of Ocram Bank1 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW1,Error Injection On Low 32 bits Of Ocram Bank1 Write Data" group.long 0x40++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH1,Error Injection On High 32 bits Of Ocram Bank1 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH1,Error Injection On High 32 bits Of Ocram Bank1 Write Data" group.long 0x44++0x03 line.long 0x00 "ERR_ECC_INJ1,Error Injection On 8 bits ECC code Of Ocram Bank1 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ1,Error Injection On 8 bits ECC code Of Ocram Bank1 Write Data" group.long 0x48++0x03 line.long 0x00 "ERR_DATA_INJ_LOW2,Error Injection On Low 32 bits Of Ocram Bank2 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW2,Error Injection On Low 32 bits Of Ocram Bank2 Write Data" group.long 0x4C++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH2,Error Injection On High 32 bits Of Ocram Bank2 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH2,Error Injection On High 32 bits Of Ocram Bank2 Write Data" group.long 0x50++0x03 line.long 0x00 "ERR_ECC_INJ2,Error Injection On 8 bits ECC code Of Ocram Bank2 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ2,Error Injection On 8 bits ECC code Of Ocram Bank2 Write Data" group.long 0x54++0x03 line.long 0x00 "ERR_DATA_INJ_LOW3,Error Injection On Low 32 bits Of Ocram Bank3 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW3,Error Injection On Low 32 bits Of Ocram Bank3 Write Data" group.long 0x58++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH3,Error Injection On High 32 bits Of Ocram Bank3 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH3,Error Injection On High 32 bits Of Ocram Bank2 Write Data" group.long 0x5C++0x03 line.long 0x00 "ERR_ECC_INJ3,Error Injection On 8 bits ECC code Of Ocram Bank3 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ3,Error Injection On 8 bits ECC code Of Ocram Bank3 Write Data" group.long 0x60++0x03 line.long 0x00 "ERR_DATA_INJ_LOW4,Error Injection On Low 32 bits Of Ocram Bank4 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW4,Error Injection On Low 32 bits Of Ocram Bank4 Write Data" group.long 0x64++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH4,Error Injection On High 32 bits Of Ocram Bank4 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH4,Error Injection On High 32 bits Of Ocram Bank4 Write Data" group.long 0x68++0x03 line.long 0x00 "ERR_ECC_INJ4,Error Injection On 8 bits ECC code Of Ocram Bank4 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ4,Error Injection On 8 bits ECC code Of Ocram Bank4 Write Data" group.long 0x6C++0x03 line.long 0x00 "ERR_DATA_INJ_LOW5,Error Injection On Low 32 bits Of Ocram Bank5 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW5,Error Injection On Low 32 bits Of Ocram Bank5 Write Data" group.long 0x70++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH5,Error Injection On High 32 bits Of Ocram Bank5 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH5,Error Injection On High 32 bits Of Ocram Bank5 Write Data" group.long 0x74++0x03 line.long 0x00 "ERR_ECC_INJ5,Error Injection On 8 bits ECC code Of Ocram Bank5 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ5,Error Injection On 8 bits ECC code Of Ocram Bank5 Write Data" group.long 0x78++0x03 line.long 0x00 "ERR_DATA_INJ_LOW6,Error Injection On Low 32 bits Of Ocram Bank6 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW6,Error Injection On Low 32 bits Of Ocram Bank6 Write Data" group.long 0x7C++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH6,Error Injection On High 32 bits Of Ocram Bank6 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH6,Error Injection On High 32 bits Of Ocram Bank6 Write Data" group.long 0x80++0x03 line.long 0x00 "ERR_ECC_INJ6,Error Injection On 8 bits ECC code Of Ocram Bank6 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ6,Error Injection On 8 bits ECC code Of Ocram Bank6 Write Data" group.long 0x84++0x03 line.long 0x00 "ERR_DATA_INJ_LOW7,Error Injection On Low 32 bits Of Ocram Bank7 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW7,Error Injection On Low 32 bits Of Ocram Bank7 Write Data" group.long 0x88++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH7,Error Injection On High 32 bits Of Ocram Bank7 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH7,Error Injection On High 32 bits Of Ocram Bank7 Write Data" group.long 0x8C++0x03 line.long 0x00 "ERR_ECC_INJ7,Error Injection On 8 bits ECC code Of Ocram Bank7 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ7,Error Injection On 8 bits ECC code Of Ocram Bank7 Write Data" group.long 0x90++0x03 line.long 0x00 "ERR_DATA_INJ_LOW8,Error Injection On Low 32 bits Of Ocram Bank8 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW8,Error Injection On Low 32 bits Of Ocram Bank8 Write Data" group.long 0x94++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH8,Error Injection On High 32 bits Of Ocram Bank8 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH8,Error Injection On High 32 bits Of Ocram Bank8 Write Data" group.long 0x98++0x03 line.long 0x00 "ERR_ECC_INJ8,Error Injection On 8 bits ECC code Of Ocram Bank8 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ8,Error Injection On 8 bits ECC code Of Ocram Bank8 Write Data" group.long 0x9C++0x03 line.long 0x00 "ERR_DATA_INJ_LOW9,Error Injection On Low 32 bits Of Ocram Bank9 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW9,Error Injection On Low 32 bits Of Ocram Bank9 Write Data" group.long 0xA0++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH9,Error Injection On High 32 bits Of Ocram Bank9 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH9,Error Injection On High 32 bits Of Ocram Bank9 Write Data" group.long 0xA4++0x03 line.long 0x00 "ERR_ECC_INJ9,Error Injection On 8 bits ECC code Of Ocram Bank9 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ9,Error Injection On 8 bits ECC code Of Ocram Bank9 Write Data" group.long 0xA8++0x03 line.long 0x00 "ERR_DATA_INJ_LOW10,Error Injection On Low 32 bits Of Ocram Bank10 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW10,Error Injection On Low 32 bits Of Ocram Bank10 Write Data" group.long 0xAC++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH10,Error Injection On High 32 bits Of Ocram Bank10 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH10,Error Injection On High 32 bits Of Ocram Bank10 Write Data" group.long 0xB0++0x03 line.long 0x00 "ERR_ECC_INJ10,Error Injection On 8 bits ECC code Of Ocram Bank10 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ10,Error Injection On 8 bits ECC code Of Ocram Bank10 Write Data" group.long 0xB4++0x03 line.long 0x00 "ERR_DATA_INJ_LOW11,Error Injection On Low 32 bits Of Ocram Bank11 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW11,Error Injection On Low 32 bits Of Ocram Bank11 Write Data" group.long 0xB8++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH11,Error Injection On High 32 bits Of Ocram Bank11 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH11,Error Injection On High 32 bits Of Ocram Bank11 Write Data" group.long 0xBC++0x03 line.long 0x00 "ERR_ECC_INJ11,Error Injection On 8 bits ECC code Of Ocram Bank11 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ11,Error Injection On 8 bits ECC code Of Ocram Bank11 Write Data" group.long 0xC0++0x03 line.long 0x00 "ERR_DATA_INJ_LOW12,Error Injection On Low 32 bits Of Ocram Bank12 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW12,Error Injection On Low 32 bits Of Ocram Bank12 Write Data" group.long 0xC4++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH12,Error Injection On High 32 bits Of Ocram Bank12 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH12,Error Injection On High 32 bits Of Ocram Bank12 Write Data" group.long 0xC8++0x03 line.long 0x00 "ERR_ECC_INJ12,Error Injection On 8 bits ECC code Of Ocram Bank12 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ12,Error Injection On 8 bits ECC code Of Ocram Bank12 Write Data" group.long 0xCC++0x03 line.long 0x00 "ERR_DATA_INJ_LOW13,Error Injection On Low 32 bits Of Ocram Bank13 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW13,Error Injection On Low 32 bits Of Ocram Bank13 Write Data" group.long 0xD0++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH13,Error Injection On High 32 bits Of Ocram Bank13 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH13,Error Injection On High 32 bits Of Ocram Bank13 Write Data" group.long 0xD4++0x03 line.long 0x00 "ERR_ECC_INJ13,Error Injection On 8 bits ECC code Of Ocram Bank13 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ13,Error Injection On 8 bits ECC code Of Ocram Bank13 Write Data" group.long 0xD8++0x03 line.long 0x00 "ERR_DATA_INJ_LOW14,Error Injection On Low 32 bits Of Ocram Bank14 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW14,Error Injection On Low 32 bits Of Ocram Bank14 Write Data" group.long 0xDC++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH14,Error Injection On High 32 bits Of Ocram Bank14 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH14,Error Injection On High 32 bits Of Ocram Bank14 Write Data" group.long 0xE0++0x03 line.long 0x00 "ERR_ECC_INJ14,Error Injection On 8 bits ECC code Of Ocram Bank14 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ14,Error Injection On 8 bits ECC code Of Ocram Bank14 Write Data" group.long 0xE4++0x03 line.long 0x00 "ERR_DATA_INJ_LOW15,Error Injection On Low 32 bits Of Ocram Bank15 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_LOW15,Error Injection On Low 32 bits Of Ocram Bank15 Write Data" group.long 0xE8++0x03 line.long 0x00 "ERR_DATA_INJ_HIGH15,Error Injection On High 32 bits Of Ocram Bank15 Write Data" hexmask.long 0x00 0.--31. 1. "ERR_DATA_INJ_HIGH15,Error Injection On High 32 bits Of Ocram Bank15 Write Data" group.long 0xEC++0x03 line.long 0x00 "ERR_ECC_INJ15,Error Injection On 8 bits ECC code Of Ocram Bank15 Write Data" hexmask.long.tbyte 0x00 8.--31. 1. "Reserved1,Reserved" newline hexmask.long.byte 0x00 0.--7. 1. "ERR_ECC_INJ15,Error Injection On 8 bits ECC code Of Ocram Bank15 Write Data" rgroup.long 0xF0++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC0,Single Error Address And ECC Code On Ocram Bank0" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR0,Single Error Address On Ocram Bank0" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC0,Single Error ECC Code On Ocram Bank0" rgroup.long 0xF4++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW0,Low 32 Bits Single Error Read Data On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW0,Low 32 Bits Single Error Read Data On Ocram Bank0" rgroup.long 0xF8++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH0,High 32 Bits Single Error Read Data On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH0,High 32 Bits Single Error Read Data On Ocram Bank0" rgroup.long 0xFC++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW0,Low Single Error Bit Position On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW0,Low Single Error Bit Position On Ocram Bank0" rgroup.long 0x100++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH0,High Single Error Bit Position On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH0,High Single Error Bit Position On Ocram Bank0" rgroup.long 0x104++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC1,Single Error Address And ECC Code On Ocram Bank1" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR1,Single Error Address On Ocram Bank1" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC1,Single Error ECC Code On Ocram Bank1" rgroup.long 0x108++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW1,Low 32 Bits Single Error Read Data On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW1,Low 32 Bits Single Error Read Data On Ocram Bank1" rgroup.long 0x10C++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH1,High 32 Bits Single Error Read Data On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH1,High 32 Bits Single Error Read Data On Ocram Bank1" rgroup.long 0x110++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW1,Low Single Error Bit Position On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW1,Low Single Error Bit Position On Ocram Bank1" rgroup.long 0x114++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH1,High Single Error Bit Position On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH1,High Single Error Bit Position On Ocram Bank1" rgroup.long 0x118++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC2,Single Error Address And ECC Code On Ocram Bank2" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR2,Single Error Address On Ocram Bank2" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC2,Single Error ECC Code On Ocram Bank2" rgroup.long 0x11C++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW2,Low 32 Bits Single Error Read Data On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW2,Low 32 Bits Single Error Read Data On Ocram Bank2" rgroup.long 0x120++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH2,High 32 Bits Single Error Read Data On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH2,High 32 Bits Single Error Read Data On Ocram Bank2" rgroup.long 0x124++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW2,Low Single Error Bit Position On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW2,Low Single Error Bit Position On Ocram Bank2" rgroup.long 0x128++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH2,High Single Error Bit Position On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH2,High Single Error Bit Position On Ocram Bank2" rgroup.long 0x12C++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC3,Single Error Address And ECC Code On Ocram Bank3" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR3,Single Error Address On Ocram Bank3" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC3,Single Error ECC Code On Ocram Bank3" rgroup.long 0x130++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW3,Low 32 Bits Single Error Read Data On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW3,Low 32 Bits Single Error Read Data On Ocram Bank3" rgroup.long 0x134++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH3,High 32 Bits Single Error Read Data On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH3,High 32 Bits Single Error Read Data On Ocram Bank3" rgroup.long 0x138++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW3,Low Single Error Bit Position On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW3,Low Single Error Bit Position On Ocram Bank3" rgroup.long 0x13C++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH3,High Single Error Bit Position On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH3,High Single Error Bit Position On Ocram Bank3" rgroup.long 0x140++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC4,Single Error Address And ECC Code On Ocram Bank4" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR4,Single Error Address On Ocram Bank4" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC4,Single Error ECC Code On Ocram Bank4" rgroup.long 0x144++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW4,Low 32 Bits Single Error Read Data On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW4,Low 32 Bits Single Error Read Data On Ocram Bank4" rgroup.long 0x148++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH4,High 32 Bits Single Error Read Data On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH4,High 32 Bits Single Error Read Data On Ocram Bank4" rgroup.long 0x14C++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW4,Low Single Error Bit Position On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW4,Low Single Error Bit Position On Ocram Bank4" rgroup.long 0x150++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH4,High Single Error Bit Position On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH4,High Single Error Bit Position On Ocram Bank4" rgroup.long 0x154++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC5,Single Error Address And ECC Code On Ocram Bank5" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR5,Single Error Address On Ocram Bank5" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC5,Single Error ECC Code On Ocram Bank5" rgroup.long 0x158++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW5,Low 32 Bits Single Error Read Data On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW5,Low 32 Bits Single Error Read Data On Ocram Bank5" rgroup.long 0x15C++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH5,High 32 Bits Single Error Read Data On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH5,High 32 Bits Single Error Read Data On Ocram Bank5" rgroup.long 0x160++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW5,Low Single Error Bit Position On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW5,Low Single Error Bit Position On Ocram Bank5" rgroup.long 0x164++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH5,High Single Error Bit Position On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH5,High Single Error Bit Position On Ocram Bank5" rgroup.long 0x168++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC6,Single Error Address And ECC Code On Ocram Bank6" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR6,Single Error Address On Ocram Bank6" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC6,Single Error ECC Code On Ocram Bank6" rgroup.long 0x16C++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW6,Low 32 Bits Single Error Read Data On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW6,Low 32 Bits Single Error Read Data On Ocram Bank6" rgroup.long 0x170++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH6,High 32 Bits Single Error Read Data On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH6,High 32 Bits Single Error Read Data On Ocram Bank6" rgroup.long 0x174++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW6,Low Single Error Bit Position On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW6,Low Single Error Bit Position On Ocram Bank6" rgroup.long 0x178++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH6,High Single Error Bit Position On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH6,High Single Error Bit Position On Ocram Bank6" rgroup.long 0x17C++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC7,Single Error Address And ECC Code On Ocram Bank7" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR7,Single Error Address On Ocram Bank7" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC7,Single Error ECC Code On Ocram Bank7" rgroup.long 0x180++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW7,Low 32 Bits Single Error Read Data On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW7,Low 32 Bits Single Error Read Data On Ocram Bank7" rgroup.long 0x184++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH7,High 32 Bits Single Error Read Data On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH7,High 32 Bits Single Error Read Data On Ocram Bank7" rgroup.long 0x188++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW7,Low Single Error Bit Position On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW7,Low Single Error Bit Position On Ocram Bank7" rgroup.long 0x18C++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH7,High Single Error Bit Position On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH7,High Single Error Bit Position On Ocram Bank7" rgroup.long 0x190++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC8,Single Error Address And ECC Code On Ocram Bank8" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR8,Single Error Address On Ocram Bank8" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC8,Single Error ECC Code On Ocram Bank8" rgroup.long 0x194++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW8,Low 32 Bits Single Error Read Data On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW8,Low 32 Bits Single Error Read Data On Ocram Bank8" rgroup.long 0x198++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH8,High 32 Bits Single Error Read Data On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH8,High 32 Bits Single Error Read Data On Ocram Bank8" rgroup.long 0x19C++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW8,Low Single Error Bit Position On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW8,Low Single Error Bit Position On Ocram Bank8" rgroup.long 0x1A0++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH8,High Single Error Bit Position On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH8,High Single Error Bit Position On Ocram Bank8" rgroup.long 0x1A4++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC9,Single Error Address And ECC Code On Ocram Bank9" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR9,Single Error Address On Ocram Bank9" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC9,Single Error ECC Code On Ocram Bank9" rgroup.long 0x1A8++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW9,Low 32 Bits Single Error Read Data On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW9,Low 32 Bits Single Error Read Data On Ocram Bank9" rgroup.long 0x1AC++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH9,High 32 Bits Single Error Read Data On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH9,High 32 Bits Single Error Read Data On Ocram Bank9" rgroup.long 0x1B0++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW9,Low Single Error Bit Position On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW9,Low Single Error Bit Position On Ocram Bank9" rgroup.long 0x1B4++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH9,High Single Error Bit Position On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH9,High Single Error Bit Position On Ocram Bank9" rgroup.long 0x1B8++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC10,Single Error Address And ECC Code On Ocram Bank10" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR10,Single Error Address On Ocram Bank10" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC10,Single Error ECC Code On Ocram Bank2" rgroup.long 0x1BC++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW10,Low 32 Bits Single Error Read Data On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW10,Low 32 Bits Single Error Read Data On Ocram Bank10" rgroup.long 0x1C0++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH10,High 32 Bits Single Error Read Data On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH10,High 32 Bits Single Error Read Data On Ocram Bank10" rgroup.long 0x1C4++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW10,Low Single Error Bit Position On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW10,Low Single Error Bit Position On Ocram Bank10" rgroup.long 0x1C8++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH10,High Single Error Bit Position On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH10,High Single Error Bit Position On Ocram Bank10" rgroup.long 0x1CC++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC11,Single Error Address And ECC Code On Ocram Bank11" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR11,Single Error Address On Ocram Bank11" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC11,Single Error ECC Code On Ocram Bank11" rgroup.long 0x1D0++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW11,Low 32 Bits Single Error Read Data On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW11,Low 32 Bits Single Error Read Data On Ocram Bank11" rgroup.long 0x1D4++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH11,High 32 Bits Single Error Read Data On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH11,High 32 Bits Single Error Read Data On Ocram Bank11" rgroup.long 0x1D8++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW11,Low Single Error Bit Position On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW11,Low Single Error Bit Position On Ocram Bank11" rgroup.long 0x1DC++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH11,High Single Error Bit Position On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH11,High Single Error Bit Position On Ocram Bank11" rgroup.long 0x1E0++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC12,Single Error Address And ECC Code On Ocram Bank12" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR12,Single Error Address On Ocram Bank12" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC12,Single Error ECC Code On Ocram Bank12" rgroup.long 0x1E4++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW12,Low 32 Bits Single Error Read Data On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW12,Low 32 Bits Single Error Read Data On Ocram Bank12" rgroup.long 0x1E8++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH12,High 32 Bits Single Error Read Data On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH12,High 32 Bits Single Error Read Data On Ocram Bank12" rgroup.long 0x1EC++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW12,Low Single Error Bit Position On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW12,Low Single Error Bit Position On Ocram Bank12" rgroup.long 0x1F0++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH12,High Single Error Bit Position On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH12,High Single Error Bit Position On Ocram Bank12" rgroup.long 0x1F4++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC13,Single Error Address And ECC Code On Ocram Bank13" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR13,Single Error Address On Ocram Bank13" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC13,Single Error ECC Code On Ocram Bank13" rgroup.long 0x1F8++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW13,Low 32 Bits Single Error Read Data On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW13,Low 32 Bits Single Error Read Data On Ocram Bank13" rgroup.long 0x1FC++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH13,High 32 Bits Single Error Read Data On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH13,High 32 Bits Single Error Read Data On Ocram Bank13" rgroup.long 0x200++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW13,Low Single Error Bit Position On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW13,Low Single Error Bit Position On Ocram Bank13" rgroup.long 0x204++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH13,High Single Error Bit Position On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH13,High Single Error Bit Position On Ocram Bank13" rgroup.long 0x208++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC14,Single Error Address And ECC Code On Ocram Bank14" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR14,Single Error Address On Ocram Bank14" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC14,Single Error ECC Code On Ocram Bank14" rgroup.long 0x20C++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW14,Low 32 Bits Single Error Read Data On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW14,Low 32 Bits Single Error Read Data On Ocram Bank14" rgroup.long 0x210++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH14,High 32 Bits Single Error Read Data On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH14,High 32 Bits Single Error Read Data On Ocram Bank14" rgroup.long 0x214++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW14,Low Single Error Bit Position On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW14,Low Single Error Bit Position On Ocram Bank14" rgroup.long 0x218++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH14,High Single Error Bit Position On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH14,High Single Error Bit Position On Ocram Bank14" rgroup.long 0x21C++0x03 line.long 0x00 "SINGLE_ERR_ADDR_ECC15,Single Error Address And ECC Code On Ocram Bank15" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "SINGLE_ERR_ADDR15,Single Error Address On Ocram Bank15" newline hexmask.long.byte 0x00 0.--7. 1. "SINGLE_ERR_ECC15,Single Error ECC Code On Ocram Bank15" rgroup.long 0x220++0x03 line.long 0x00 "SINGLE_ERR_DATA_LOW15,Low 32 Bits Single Error Read Data On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_LOW15,Low 32 Bits Single Error Read Data On Ocram Bank15" rgroup.long 0x224++0x03 line.long 0x00 "SINGLE_ERR_DATA_HIGH15,High 32 Bits Single Error Read Data On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_DATA_HIGH15,High 32 Bits Single Error Read Data On Ocram Bank15" rgroup.long 0x228++0x03 line.long 0x00 "SINGLE_ERR_POS_LOW15,Low Single Error Bit Position On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_LOW15,Low Single Error Bit Position On Ocram Bank15" rgroup.long 0x22C++0x03 line.long 0x00 "SINGLE_ERR_POS_HIGH15,High Single Error Bit Position On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "SINGLE_ERR_POS_HIGH15,High Single Error Bit Position On Ocram Bank15" rgroup.long 0x230++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC0,Multiple Error Address And ECC Code On Ocram Bank0" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR0,Multiple Error Address On Ocram Bank0" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC0,Multiple Error ECC Code On Ocram Bank0" rgroup.long 0x234++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW0,Low 32 Bits Multiple Error Read Data On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW0,Low 32 Bits Multiple Error Read Data On Ocram Bank0" rgroup.long 0x238++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH0,High 32 Bits Multiple Error Read Data On Ocram Bank0" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH0,High 32 Bits Multiple Error Read Data On Ocram Bank0" rgroup.long 0x23C++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC1,Multiple Error Address And ECC Code On Ocram Bank1" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR1,Multiple Error Address On Ocram Bank1" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC1,Multiple Error ECC Code On Ocram Bank1" rgroup.long 0x240++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW1,Low 32 Bits Multiple Error Read Data On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW1,Low 32 Bits Multiple Error Read Data On Ocram Bank1" rgroup.long 0x244++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH1,High 32 Bits Multiple Error Read Data On Ocram Bank1" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH1,High 32 Bits Multiple Error Read Data On Ocram Bank1" rgroup.long 0x248++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC2,Multiple Error Address And ECC Code On Ocram Bank2" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR2,Multiple Error Address On Ocram Bank2" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC2,Multiple Error ECC Code On Ocram Bank2" rgroup.long 0x24C++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW2,Low 32 Bits Multiple Error Read Data On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW2,Low 32 Bits Multiple Error Read Data On Ocram Bank2" rgroup.long 0x250++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH2,High 32 Bits Multiple Error Read Data On Ocram Bank2" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH2,High 32 Bits Multiple Error Read Data On Ocram Bank2" rgroup.long 0x254++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC3,Multiple Error Address And ECC Code On Ocram Bank3" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR3,Multiple Error Address On Ocram Bank3" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC3,Multiple Error ECC Code On Ocram Bank3" rgroup.long 0x258++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW3,Low 32 Bits Multiple Error Read Data On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW3,Low 32 Bits Multiple Error Read Data On Ocram Bank3" rgroup.long 0x25C++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH3,High 32 Bits Multiple Error Read Data On Ocram Bank3" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH3,High 32 Bits Multiple Error Read Data On Ocram Bank3" rgroup.long 0x260++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC4,Multiple Error Address And ECC Code On Ocram Bank4" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR4,Multiple Error Address On Ocram Bank4" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC4,Multiple Error ECC Code On Ocram Bank4" rgroup.long 0x264++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW4,Low 32 Bits Multiple Error Read Data On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW4,Low 32 Bits Multiple Error Read Data On Ocram Bank4" rgroup.long 0x268++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH4,High 32 Bits Multiple Error Read Data On Ocram Bank4" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH4,High 32 Bits Multiple Error Read Data On Ocram Bank4" rgroup.long 0x26C++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC5,Multiple Error Address And ECC Code On Ocram Bank5" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR5,Multiple Error Address On Ocram Bank5" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC5,Multiple Error ECC Code On Ocram Bank5" rgroup.long 0x270++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW5,Low 32 Bits Multiple Error Read Data On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW5,Low 32 Bits Multiple Error Read Data On Ocram Bank5" rgroup.long 0x274++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH5,High 32 Bits Multiple Error Read Data On Ocram Bank5" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH5,High 32 Bits Multiple Error Read Data On Ocram Bank5" rgroup.long 0x278++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC6,Multiple Error Address And ECC Code On Ocram Bank6" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR6,Multiple Error Address On Ocram Bank6" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC6,Multiple Error ECC Code On Ocram Bank6" rgroup.long 0x27C++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW6,Low 32 Bits Multiple Error Read Data On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW6,Low 32 Bits Multiple Error Read Data On Ocram Bank6" rgroup.long 0x280++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH6,High 32 Bits Multiple Error Read Data On Ocram Bank6" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH6,High 32 Bits Multiple Error Read Data On Ocram Bank6" rgroup.long 0x284++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC7,Multiple Error Address And ECC Code On Ocram Bank7" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR7,Multiple Error Address On Ocram Bank7" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC7,Multiple Error ECC Code On Ocram Bank7" rgroup.long 0x288++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW7,Low 32 Bits Multiple Error Read Data On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW7,Low 32 Bits Multiple Error Read Data On Ocram Bank7" rgroup.long 0x28C++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH7,High 32 Bits Multiple Error Read Data On Ocram Bank7" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH7,High 32 Bits Multiple Error Read Data On Ocram Bank7" rgroup.long 0x290++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC8,Multiple Error Address And ECC Code On Ocram Bank8" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR8,Multiple Error Address On Ocram Bank8" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC8,Multiple Error ECC Code On Ocram Bank8" rgroup.long 0x294++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW8,Low 32 Bits Multiple Error Read Data On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW8,Low 32 Bits Multiple Error Read Data On Ocram Bank8" rgroup.long 0x298++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH8,High 32 Bits Multiple Error Read Data On Ocram Bank8" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH8,High 32 Bits Multiple Error Read Data On Ocram Bank8" rgroup.long 0x29C++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC9,Multiple Error Address And ECC Code On Ocram Bank9" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR9,Multiple Error Address On Ocram Bank9" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC9,Multiple Error ECC Code On Ocram Bank9" rgroup.long 0x2A0++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW9,Low 32 Bits Multiple Error Read Data On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW9,Low 32 Bits Multiple Error Read Data On Ocram Bank9" rgroup.long 0x2A4++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH9,High 32 Bits Multiple Error Read Data On Ocram Bank9" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH9,High 32 Bits Multiple Error Read Data On Ocram Bank9" rgroup.long 0x2A8++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC10,Multiple Error Address And ECC Code On Ocram Bank10" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR10,Multiple Error Address On Ocram Bank10" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC10,Multiple Error ECC Code On Ocram Bank10" rgroup.long 0x2AC++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW10,Low 32 Bits Multiple Error Read Data On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW10,Low 32 Bits Multiple Error Read Data On Ocram Bank10" rgroup.long 0x2B0++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH10,High 32 Bits Multiple Error Read Data On Ocram Bank10" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH10,High 32 Bits Multiple Error Read Data On Ocram Bank10" rgroup.long 0x2B4++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC11,Multiple Error Address And ECC Code On Ocram Bank11" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR11,Multiple Error Address On Ocram Bank11" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC11,Multiple Error ECC Code On Ocram Bank11" rgroup.long 0x2B8++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW11,Low 32 Bits Multiple Error Read Data On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW11,Low 32 Bits Multiple Error Read Data On Ocram Bank11" rgroup.long 0x2BC++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH11,High 32 Bits Multiple Error Read Data On Ocram Bank11" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH11,High 32 Bits Multiple Error Read Data On Ocram Bank11" rgroup.long 0x2C0++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC12,Multiple Error Address And ECC Code On Ocram Bank12" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR12,Multiple Error Address On Ocram Bank12" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC12,Multiple Error ECC Code On Ocram Bank12" rgroup.long 0x2C4++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW12,Low 32 Bits Multiple Error Read Data On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW12,Low 32 Bits Multiple Error Read Data On Ocram Bank12" rgroup.long 0x2C8++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH12,High 32 Bits Multiple Error Read Data On Ocram Bank12" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH12,High 32 Bits Multiple Error Read Data On Ocram Bank12" rgroup.long 0x2CC++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC13,Multiple Error Address And ECC Code On Ocram Bank13" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR13,Multiple Error Address On Ocram Bank13" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC13,Multiple Error ECC Code On Ocram Bank13" rgroup.long 0x2D0++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW13,Low 32 Bits Multiple Error Read Data On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW13,Low 32 Bits Multiple Error Read Data On Ocram Bank13" rgroup.long 0x2D4++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH13,High 32 Bits Multiple Error Read Data On Ocram Bank13" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH13,High 32 Bits Multiple Error Read Data On Ocram Bank13" rgroup.long 0x2D8++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC14,Multiple Error Address And ECC Code On Ocram Bank14" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR14,Multiple Error Address On Ocram Bank14" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC14,Multiple Error ECC Code On Ocram Bank14" rgroup.long 0x2DC++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW14,Low 32 Bits Multiple Error Read Data On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW14,Low 32 Bits Multiple Error Read Data On Ocram Bank14" rgroup.long 0x2E0++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH14,High 32 Bits Multiple Error Read Data On Ocram Bank14" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH14,High 32 Bits Multiple Error Read Data On Ocram Bank14" rgroup.long 0x2E4++0x03 line.long 0x00 "MULTI_ERR_ADDR_ECC15,Multiple Error Address And ECC Code On Ocram Bank15" bitfld.long 0x00 27.--31. "Reserved1,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 8.--26. 1. "MULTI_ERR_ADDR15,Multiple Error Address On Ocram Bank15" newline hexmask.long.byte 0x00 0.--7. 1. "MULTI_ERR_ECC15,Multiple Error ECC Code On Ocram Bank15" rgroup.long 0x2E8++0x03 line.long 0x00 "MULTI_ERR_DATA_LOW15,Low 32 Bits Multiple Error Read Data On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_LOW15,Low 32 Bits Multiple Error Read Data On Ocram Bank15" rgroup.long 0x2EC++0x03 line.long 0x00 "MULTI_ERR_DATA_HIGH15,High 32 Bits Multiple Error Read Data On Ocram Bank15" hexmask.long 0x00 0.--31. 1. "MULTI_ERR_DATA_HIGH15,High 32 Bits Multiple Error Read Data On Ocram Bank15" group.long 0x2F0++0x03 line.long 0x00 "PIPE_ECC_EN,Ocram Pipeline And ECC Enable" hexmask.long 0x00 5.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 4. "ECC_EN,ECC Function Enable" "0: ECC_EN_0,1: ECC_EN_1" newline bitfld.long 0x00 3. "WRITE_ADDR_PIPE_EN,Write Address Pipeline Enable" "0: WRITE_ADDR_PIPE_EN_0,1: WRITE_ADDR_PIPE_EN_1" newline bitfld.long 0x00 2. "WRITE_DATA_PIPE_EN,Write Data Pipeline Enable" "0: WRITE_DATA_PIPE_EN_0,1: WRITE_DATA_PIPE_EN_1" newline bitfld.long 0x00 1. "READ_ADDR_PIPE_EN,Read Address Pipeline Enable" "0: READ_ADDR_PIPE_EN_0,1: READ_ADDR_PIPE_EN_1" newline bitfld.long 0x00 0. "READ_DATA_WAIT_EN,Read Data Wait Enable" "0: READ_DATA_WAIT_EN_0,1: READ_DATA_WAIT_EN_1" rgroup.long 0x2F4++0x03 line.long 0x00 "PENDING_STAT,Pending Status" hexmask.long 0x00 4.--31. 1. "Reserved1,Reserved" newline bitfld.long 0x00 3. "WRITE_ADDR_PIPE_PENDING,Write Address Pipeline Pending" "0,1" newline bitfld.long 0x00 2. "WRITE_DATA_PIPE_PENDING,Write Data Pipeline Pending" "0,1" newline bitfld.long 0x00 1. "READ_ADDR_PIPE_PENDING,Read Address Pipeline Pending" "0,1" newline bitfld.long 0x00 0. "READ_DATA_WAIT_PENDING,Read Data Wait Pending" "0,1" group.long 0x2F8++0x03 line.long 0x00 "MEM_INIT,Memory initialization" hexmask.long 0x00 2.--31. 1. "Reserved,Reserved" newline rbitfld.long 0x00 1. "MEM_INIT_DONE,Memory initialization done" "0: Memory initialization is not done,1: Memory initialization is done" newline bitfld.long 0x00 0. "MEM_INIT_ENABLE,Memory initialization enable" "0: MEM_INIT_ENABLE_0,1: MEM_INIT_ENABLE_1" tree.end tree "OTFAD" base ad:0x425E0C00 group.long 0xC00++0x03 line.long 0x00 "CR,Control Register" bitfld.long 0x00 31. "GE,Global OTFAD Enable" "0: OTFAD has decryption disabled,1: OTFAD has decryption enabled and processes.." bitfld.long 0x00 30. "SKBP,Start key blob processing" "0: Key blob processing is not initiated,1: Properly-enabled key blob processing is.." newline bitfld.long 0x00 7. "RRAE,Restricted Register Access Enable" "0: Register access is fully enabled,1: Register access is restricted and only the CR.." bitfld.long 0x00 5. "KBPE,Key Blob Processing Enable" "0: Key blob processing is disabled,1: Key blob processing is enabled" newline bitfld.long 0x00 4. "KBSE,Key Blob Scramble Enable" "0: Key blob KEK scrambling is disabled,1: Key blob KEK scrambling is enabled" bitfld.long 0x00 3. "FLDM,Force Logically Disabled Mode" "0: No effect on the operating mode,1: Force entry into LDM after a write with this.." newline bitfld.long 0x00 1. "FERR,Force Error" "0: No effect on the SR[KBERE] indicator,1: SR[KBERR] is immediately set after a write.." group.long 0xC04++0x03 line.long 0x00 "SR,Status Register" rbitfld.long 0x00 31. "KBD,Key Blob Processing Done" "0: Key blob processing was not enabled or is not..,1: Key blob processing was enabled and is complete" rbitfld.long 0x00 30. "KBPE,Key Blob Processing Enable" "0: Key blob processing is not enabled,1: Key blob processing is enabled" newline rbitfld.long 0x00 29. "GEM,Global Enable Mode" "0: OTFAD is disabled,1: OTFAD is enabled and processes data fetched.." rbitfld.long 0x00 28. "RRAM,Restricted Register Access Mode" "0: Register access is fully enabled,1: Register access is restricted and only the CR.." newline rbitfld.long 0x00 24.--27. "HRL,Hardware Revision Level" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 19. "CTXIE3,Context Integrity Error" "0: No key blob integrity error was detected for..,1: A key blob integrity error was detected in.." newline rbitfld.long 0x00 18. "CTXIE2,Context Integrity Error" "0: No key blob integrity error was detected for..,1: A key blob integrity error was detected in.." rbitfld.long 0x00 17. "CTXIE1,Context Integrity Error" "0: No key blob integrity error was detected for..,1: A key blob integrity error was detected in.." newline rbitfld.long 0x00 16. "CTXIE0,Context Integrity Error" "0: No key blob integrity error was detected for..,1: A key blob integrity error was detected in.." rbitfld.long 0x00 11. "CTXER3,Context Error" "0: No key blob error was detected for context n,1: A key blob integrity error might have been.." newline rbitfld.long 0x00 10. "CTXER2,Context Error" "0: No key blob error was detected for context n,1: A key blob integrity error might have been.." rbitfld.long 0x00 9. "CTXER1,Context Error" "0: No key blob error was detected for context n,1: A key blob integrity error might have been.." newline rbitfld.long 0x00 8. "CTXER0,Context Error" "0: No key blob error was detected for context n,1: A key blob integrity error might have been.." rbitfld.long 0x00 4.--7. "NCTX,Number of Contexts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2.--3. "MODE,Operating Mode" "0: Operating in Normal mode (NRM),1: Unused (reserved),2: Unused (reserved),3: Operating in Logically Disabled Mode (LDM)" rbitfld.long 0x00 1. "MDPCP,MDPC Present" "0,1" newline eventfld.long 0x00 0. "KBERR,Key Blob Error" "0: No key blob error detected,1: One or more key blob errors has been detected" group.long 0xC08++0x03 line.long 0x00 "CRC,Cyclic Redundancy Check Register" hexmask.long 0x00 0.--31. 1. "CRCD,CRC Data" repeat 4. (increment 0 1)(increment 0 0x40) tree "CTX[$1]" group.long ($2+0xD00)++0x03 line.long 0x00 "CTX_KEY0,AES Key Word" hexmask.long 0x00 0.--31. 1. "KEY,AES Key" group.long ($2+0xD04)++0x03 line.long 0x00 "CTX_KEY1,AES Key Word" hexmask.long 0x00 0.--31. 1. "KEY,AES Key" group.long ($2+0xD08)++0x03 line.long 0x00 "CTX_KEY2,AES Key Word" hexmask.long 0x00 0.--31. 1. "KEY,AES Key" group.long ($2+0xD0C)++0x03 line.long 0x00 "CTX_KEY3,AES Key Word" hexmask.long 0x00 0.--31. 1. "KEY,AES Key" group.long ($2+0xD10)++0x03 line.long 0x00 "CTX_CTR0,AES Counter Word" hexmask.long 0x00 0.--31. 1. "CTR,AES Counter" group.long ($2+0xD14)++0x03 line.long 0x00 "CTX_CTR1,AES Counter Word" hexmask.long 0x00 0.--31. 1. "CTR,AES Counter" group.long ($2+0xD18)++0x03 line.long 0x00 "CTX_RGD_W0,AES Region Descriptor Word0" hexmask.long.tbyte 0x00 10.--31. 1. "SRTADDR,Start Address" group.long ($2+0xD1C)++0x03 line.long 0x00 "CTX_RGD_W1,AES Region Descriptor Word1" hexmask.long.tbyte 0x00 10.--31. 1. "ENDADDR,End Address" bitfld.long 0x00 2. "RO,Read-Only" "0: The context registers can be accessed..,1: The context registers are read-only and.." newline bitfld.long 0x00 1. "ADE,AES Decryption Enable" "0: Bypass the fetched data,1: Perform the CTR-AES128 mode decryption on the.." bitfld.long 0x00 0. "VLD,Valid" "0: Context is invalid,1: Context is valid" tree.end repeat.end tree.end tree "PLL" tree "ARMPLL" base ad:0x44481000 group.long 0x00++0x03 line.long 0x00 "CTRL,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.PLL is control.." bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0: NORMAL_MODE,?..." newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0: Disable the output clock,1: Enable the output clock" bitfld.long 0x00 0. "POWERUP,POWERUP" "0: PLL is Disabled,1: PLL is Enabled" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,PLL Control Register" eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" eventfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline eventfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" eventfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x10++0x03 line.long 0x00 "ANA_PRG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0: NORMAL_MODE,1: Increase the frequency of loop filter by 2x" bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0: NORMAL_MODE,1: Reduces the frequency of loop filter by 2x" group.long 0x14++0x03 line.long 0x00 "ANA_PRG_SET,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x18++0x03 line.long 0x00 "ANA_PRG_CLR,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" eventfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" eventfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" eventfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" eventfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x1C++0x03 line.long 0x00 "ANA_PRG_TOG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x20++0x03 line.long 0x00 "TEST,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0: NORMAL_MODE,1: PLL is in HVST mode[TBD]" bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0: Disable TEST MUX,1: Enable TEST MUX" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0: Bring out PLL control voltage through atpsense,1: Bring out PLL Vring voltage through atpsense,2: Bring out V2I test current through atpsense,3: Bring out Charge Pump UP Current through..,4: Bring out Charge Pump DN Current through..,5: ANAMUX_CTRL_5,6: ANAMUX_CTRL_6,7: ANAMUX_CTRL_7" group.long 0x24++0x03 line.long 0x00 "TEST_SET,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TEST_CLR,PLL TEST Register" eventfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" eventfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline eventfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" eventfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline eventfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x2C++0x03 line.long 0x00 "TEST_TOG,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x60++0x03 line.long 0x00 "DIV,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0: Divide by 1,1: Divide by 1,2: Divide by 2,3: Divide by 3,4: Divide by 4,5: Divide by 5,6: Divide by 6,7: Divide by 7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x64++0x03 line.long 0x00 "DIV_SET,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x68++0x03 line.long 0x00 "DIV_CLR,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" eventfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x6C++0x03 line.long 0x00 "DIV_TOG,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" rgroup.long 0xF0++0x03 line.long 0x00 "PLL_STATUS,PLL Status Register" hexmask.long 0x00 2.--31. 1. "ANA_MFN,ANA_MFN" bitfld.long 0x00 1. "PLL_LOL,PLL_LOL" "0,1" newline bitfld.long 0x00 0. "PLL_LOCK,PLL_LOCK" "0,1" tree.end tree "AUDIOPLL" base ad:0x44481200 group.long 0x00++0x03 line.long 0x00 "CTRL,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.PLL is control.." newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0: NORMAL_MODE,1: MULTIPHASE_SDM_DISABLE_MODE" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0: NORMAL_MODE,1: Dither enable for third stage of fractional.." newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0: NORMAL_MODE,1: Dither enable for second stage of fractional.." newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0: NORMAL_MODE,1: Dither enable for first stage of fractional.." newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0: Modulation centered around nominal frequency,1: Modulation spread below nominal frequency" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0: NORMAL_MODE,?..." newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0: Disable the output clock,1: Enable the output clock" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0: PLL is Disabled,1: PLL is Enabled" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,PLL Control Register" eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline eventfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline eventfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline eventfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline eventfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline eventfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline eventfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline eventfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline eventfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x10++0x03 line.long 0x00 "ANA_PRG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0: NORMAL_MODE,1: Increase the frequency of loop filter by 2x" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0: NORMAL_MODE,1: Reduces the frequency of loop filter by 2x" group.long 0x14++0x03 line.long 0x00 "ANA_PRG_SET,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x18++0x03 line.long 0x00 "ANA_PRG_CLR,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline eventfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline eventfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x1C++0x03 line.long 0x00 "ANA_PRG_TOG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x20++0x03 line.long 0x00 "TEST,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0: NORMAL_MODE,1: PLL is in HVST mode[TBD]" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0: Disable TEST MUX,1: Enable TEST MUX" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0: Bring out PLL control voltage through atpsense,1: Bring out PLL Vring voltage through atpsense,2: Bring out V2I test current through atpsense,3: Bring out Charge Pump UP Current through..,4: Bring out Charge Pump DN Current through..,5: ANAMUX_CTRL_5,6: ANAMUX_CTRL_6,7: ANAMUX_CTRL_7" group.long 0x24++0x03 line.long 0x00 "TEST_SET,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TEST_CLR,PLL TEST Register" eventfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline eventfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline eventfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline eventfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline eventfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x2C++0x03 line.long 0x00 "TEST_TOG,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x30++0x03 line.long 0x00 "SPREAD_SPECTRUM,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0: Disable spread spectrum modulation,1: Enable spread spectrum modulation" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x34++0x03 line.long 0x00 "SPREAD_SPECTRUM_SET,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x38++0x03 line.long 0x00 "SPREAD_SPECTRUM_CLR,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline eventfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x3C++0x03 line.long 0x00 "SPREAD_SPECTRUM_TOG,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x40++0x03 line.long 0x00 "NUMERATOR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x44++0x03 line.long 0x00 "NUMERATOR_SET,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x48++0x03 line.long 0x00 "NUMERATOR_CLR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x4C++0x03 line.long 0x00 "NUMERATOR_TOG,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x50++0x03 line.long 0x00 "DENOMINATOR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x54++0x03 line.long 0x00 "DENOMINATOR_SET,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x58++0x03 line.long 0x00 "DENOMINATOR_CLR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x5C++0x03 line.long 0x00 "DENOMINATOR_TOG,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x60++0x03 line.long 0x00 "DIV,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0: Divide by 1,1: Divide by 1,2: Divide by 2,3: Divide by 3,4: Divide by 4,5: Divide by 5,6: Divide by 6,7: Divide by 7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x64++0x03 line.long 0x00 "DIV_SET,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x68++0x03 line.long 0x00 "DIV_CLR,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline eventfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x6C++0x03 line.long 0x00 "DIV_TOG,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" rgroup.long 0xF0++0x03 line.long 0x00 "PLL_STATUS,PLL Status Register" hexmask.long 0x00 2.--31. 1. "ANA_MFN,ANA_MFN" newline bitfld.long 0x00 1. "PLL_LOL,PLL_LOL" "0,1" newline bitfld.long 0x00 0. "PLL_LOCK,PLL_LOCK" "0,1" tree.end tree "DRAMPLL" base ad:0x44481300 group.long 0x00++0x03 line.long 0x00 "CTRL,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.PLL is control.." newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0: NORMAL_MODE,1: MULTIPHASE_SDM_DISABLE_MODE" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0: NORMAL_MODE,1: Dither enable for third stage of fractional.." newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0: NORMAL_MODE,1: Dither enable for second stage of fractional.." newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0: NORMAL_MODE,1: Dither enable for first stage of fractional.." newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0: Modulation centered around nominal frequency,1: Modulation spread below nominal frequency" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0: NORMAL_MODE,?..." newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0: Disable the output clock,1: Enable the output clock" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0: PLL is Disabled,1: PLL is Enabled" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,PLL Control Register" eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline eventfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline eventfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline eventfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline eventfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline eventfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline eventfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline eventfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline eventfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x10++0x03 line.long 0x00 "ANA_PRG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0: NORMAL_MODE,1: Increase the frequency of loop filter by 2x" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0: NORMAL_MODE,1: Reduces the frequency of loop filter by 2x" group.long 0x14++0x03 line.long 0x00 "ANA_PRG_SET,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x18++0x03 line.long 0x00 "ANA_PRG_CLR,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline eventfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline eventfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x1C++0x03 line.long 0x00 "ANA_PRG_TOG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x20++0x03 line.long 0x00 "TEST,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0: NORMAL_MODE,1: PLL is in HVST mode[TBD]" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0: Disable TEST MUX,1: Enable TEST MUX" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0: Bring out PLL control voltage through atpsense,1: Bring out PLL Vring voltage through atpsense,2: Bring out V2I test current through atpsense,3: Bring out Charge Pump UP Current through..,4: Bring out Charge Pump DN Current through..,5: ANAMUX_CTRL_5,6: ANAMUX_CTRL_6,7: ANAMUX_CTRL_7" group.long 0x24++0x03 line.long 0x00 "TEST_SET,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TEST_CLR,PLL TEST Register" eventfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline eventfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline eventfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline eventfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline eventfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x2C++0x03 line.long 0x00 "TEST_TOG,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x30++0x03 line.long 0x00 "SPREAD_SPECTRUM,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0: Disable spread spectrum modulation,1: Enable spread spectrum modulation" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x34++0x03 line.long 0x00 "SPREAD_SPECTRUM_SET,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x38++0x03 line.long 0x00 "SPREAD_SPECTRUM_CLR,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline eventfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x3C++0x03 line.long 0x00 "SPREAD_SPECTRUM_TOG,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x40++0x03 line.long 0x00 "NUMERATOR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x44++0x03 line.long 0x00 "NUMERATOR_SET,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x48++0x03 line.long 0x00 "NUMERATOR_CLR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x4C++0x03 line.long 0x00 "NUMERATOR_TOG,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x50++0x03 line.long 0x00 "DENOMINATOR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x54++0x03 line.long 0x00 "DENOMINATOR_SET,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x58++0x03 line.long 0x00 "DENOMINATOR_CLR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x5C++0x03 line.long 0x00 "DENOMINATOR_TOG,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x60++0x03 line.long 0x00 "DIV,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0: Divide by 1,1: Divide by 1,2: Divide by 2,3: Divide by 3,4: Divide by 4,5: Divide by 5,6: Divide by 6,7: Divide by 7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x64++0x03 line.long 0x00 "DIV_SET,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x68++0x03 line.long 0x00 "DIV_CLR,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline eventfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x6C++0x03 line.long 0x00 "DIV_TOG,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" rgroup.long 0xF0++0x03 line.long 0x00 "PLL_STATUS,PLL Status Register" hexmask.long 0x00 2.--31. 1. "ANA_MFN,ANA_MFN" newline bitfld.long 0x00 1. "PLL_LOL,PLL_LOL" "0,1" newline bitfld.long 0x00 0. "PLL_LOCK,PLL_LOCK" "0,1" tree.end tree "SYSPLL" base ad:0x44481100 group.long 0x00++0x03 line.long 0x00 "CTRL,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.PLL is control.." newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0: NORMAL_MODE,1: MULTIPHASE_SDM_DISABLE_MODE" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0: NORMAL_MODE,1: Dither enable for third stage of fractional.." newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0: NORMAL_MODE,1: Dither enable for second stage of fractional.." newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0: NORMAL_MODE,1: Dither enable for first stage of fractional.." newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0: Modulation centered around nominal frequency,1: Modulation spread below nominal frequency" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0: NORMAL_MODE,?..." newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0: Disable the output clock,1: Enable the output clock" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0: PLL is Disabled,1: PLL is Enabled" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,PLL Control Register" eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline eventfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline eventfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline eventfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline eventfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline eventfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline eventfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline eventfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline eventfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x10++0x03 line.long 0x00 "ANA_PRG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0: NORMAL_MODE,1: Increase the frequency of loop filter by 2x" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0: NORMAL_MODE,1: Reduces the frequency of loop filter by 2x" group.long 0x14++0x03 line.long 0x00 "ANA_PRG_SET,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x18++0x03 line.long 0x00 "ANA_PRG_CLR,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline eventfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline eventfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x1C++0x03 line.long 0x00 "ANA_PRG_TOG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x20++0x03 line.long 0x00 "TEST,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0: NORMAL_MODE,1: PLL is in HVST mode[TBD]" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0: Disable TEST MUX,1: Enable TEST MUX" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0: Bring out PLL control voltage through atpsense,1: Bring out PLL Vring voltage through atpsense,2: Bring out V2I test current through atpsense,3: Bring out Charge Pump UP Current through..,4: Bring out Charge Pump DN Current through..,5: ANAMUX_CTRL_5,6: ANAMUX_CTRL_6,7: ANAMUX_CTRL_7" group.long 0x24++0x03 line.long 0x00 "TEST_SET,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TEST_CLR,PLL TEST Register" eventfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline eventfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline eventfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline eventfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline eventfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x2C++0x03 line.long 0x00 "TEST_TOG,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x30++0x03 line.long 0x00 "SPREAD_SPECTRUM,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0: Disable spread spectrum modulation,1: Enable spread spectrum modulation" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x34++0x03 line.long 0x00 "SPREAD_SPECTRUM_SET,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x38++0x03 line.long 0x00 "SPREAD_SPECTRUM_CLR,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline eventfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x3C++0x03 line.long 0x00 "SPREAD_SPECTRUM_TOG,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x40++0x03 line.long 0x00 "NUMERATOR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x44++0x03 line.long 0x00 "NUMERATOR_SET,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x48++0x03 line.long 0x00 "NUMERATOR_CLR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x4C++0x03 line.long 0x00 "NUMERATOR_TOG,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x50++0x03 line.long 0x00 "DENOMINATOR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x54++0x03 line.long 0x00 "DENOMINATOR_SET,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x58++0x03 line.long 0x00 "DENOMINATOR_CLR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x5C++0x03 line.long 0x00 "DENOMINATOR_TOG,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x60++0x03 line.long 0x00 "DIV,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0: Divide by 1,1: Divide by 1,2: Divide by 2,3: Divide by 3,4: Divide by 4,5: Divide by 5,6: Divide by 6,7: Divide by 7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x64++0x03 line.long 0x00 "DIV_SET,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x68++0x03 line.long 0x00 "DIV_CLR,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline eventfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x6C++0x03 line.long 0x00 "DIV_TOG,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" rgroup.long 0xF0++0x03 line.long 0x00 "PLL_STATUS,PLL Status Register" hexmask.long 0x00 2.--31. 1. "ANA_MFN,ANA_MFN" newline bitfld.long 0x00 1. "PLL_LOL,PLL_LOL" "0,1" newline bitfld.long 0x00 0. "PLL_LOCK,PLL_LOCK" "0,1" rgroup.long 0xF4++0x03 line.long 0x00 "DFS_STATUS,DFS Status Registe" bitfld.long 0x00 0.--2. "DFS_OK,Status of clockout ok signal" "0: The corresponding dfs output clock is not valid,1: The corresponding dfs output clock is valid,?..." repeat 3. (increment 0 1)(increment 0 0x20) tree "NO_OF_DFS[$1]" group.long ($2+0x70)++0x03 line.long 0x00 "DFS_CTRL_,DFS Control Register" bitfld.long 0x00 31. "ENABLE,Enable the DFS Block" "0: DFS block is disable,1: DFS block is enable" bitfld.long 0x00 30. "CLKOUT_EN,Enable the DFS output clock" "0: DFS Clock output is disable,1: DFS Clock output is enable" newline bitfld.long 0x00 29. "CLKOUT_DIVBY2_EN,Enable the DFS divide by 2 output clock" "0: DFS divide by 2 clock output is disable,1: DFS divide by 2 clock output is enable" bitfld.long 0x00 23. "BYPASS_EN,Bypass the DFS" "0: DFS Clock output,1: Bypass clock output" newline bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.DFS is control.." group.long ($2+0x74)++0x03 line.long 0x00 "DFS_CTRL__SET,DFS Control Register" bitfld.long 0x00 31. "ENABLE,Enable the DFS Block" "0,1" bitfld.long 0x00 30. "CLKOUT_EN,Enable the DFS output clock" "0,1" newline bitfld.long 0x00 29. "CLKOUT_DIVBY2_EN,Enable the DFS divide by 2 output clock" "0,1" bitfld.long 0x00 23. "BYPASS_EN,Bypass the DFS" "0,1" newline bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" group.long ($2+0x78)++0x03 line.long 0x00 "DFS_CTRL__CLR,DFS Control Register" eventfld.long 0x00 31. "ENABLE,Enable the DFS Block" "0,1" eventfld.long 0x00 30. "CLKOUT_EN,Enable the DFS output clock" "0,1" newline eventfld.long 0x00 29. "CLKOUT_DIVBY2_EN,Enable the DFS divide by 2 output clock" "0,1" eventfld.long 0x00 23. "BYPASS_EN,Bypass the DFS" "0,1" newline eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" group.long ($2+0x7C)++0x03 line.long 0x00 "DFS_CTRL__TOG,DFS Control Register" bitfld.long 0x00 31. "ENABLE,Enable the DFS Block" "0,1" bitfld.long 0x00 30. "CLKOUT_EN,Enable the DFS output clock" "0,1" newline bitfld.long 0x00 29. "CLKOUT_DIVBY2_EN,Enable the DFS divide by 2 output clock" "0,1" bitfld.long 0x00 23. "BYPASS_EN,Bypass the DFS" "0,1" newline bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" group.long ($2+0x80)++0x03 line.long 0x00 "DFS_DIV_,DFS Division Register" hexmask.long.byte 0x00 8.--15. 1. "MFI,This field provides the integer part of division factor for port n" bitfld.long 0x00 0.--2. "MFN,This field provides the numerator of fractional part of division factor for port n" "0,1,2,3,4,5,6,7" group.long ($2+0x84)++0x03 line.long 0x00 "DFS_DIV__SET,DFS Division Register" hexmask.long.byte 0x00 8.--15. 1. "MFI,This field provides the integer part of division factor for port n" bitfld.long 0x00 0.--2. "MFN,This field provides the numerator of fractional part of division factor for port n" "0,1,2,3,4,5,6,7" group.long ($2+0x88)++0x03 line.long 0x00 "DFS_DIV__CLR,DFS Division Register" hexmask.long.byte 0x00 8.--15. 1. "MFI,This field provides the integer part of division factor for port n" eventfld.long 0x00 0.--2. "MFN,This field provides the numerator of fractional part of division factor for port n" "0,1,2,3,4,5,6,7" group.long ($2+0x8C)++0x03 line.long 0x00 "DFS_DIV__TOG,DFS Division Register" hexmask.long.byte 0x00 8.--15. 1. "MFI,This field provides the integer part of division factor for port n" bitfld.long 0x00 0.--2. "MFN,This field provides the numerator of fractional part of division factor for port n" "0,1,2,3,4,5,6,7" tree.end repeat.end tree.end tree "VIDEOPLL" base ad:0x44481400 group.long 0x00++0x03 line.long 0x00 "CTRL,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0: Hardware control select disable,1: Hardware control select enable.PLL is control.." newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0: NORMAL_MODE,1: MULTIPHASE_SDM_DISABLE_MODE" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0: NORMAL_MODE,1: Dither enable for third stage of fractional.." newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0: NORMAL_MODE,1: Dither enable for second stage of fractional.." newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0: NORMAL_MODE,1: Dither enable for first stage of fractional.." newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0: Modulation centered around nominal frequency,1: Modulation spread below nominal frequency" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0: NORMAL_MODE,?..." newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0: Disable the output clock,1: Enable the output clock" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0: PLL is Disabled,1: PLL is Enabled" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,PLL Control Register" eventfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline eventfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline eventfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline eventfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline eventfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline eventfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline eventfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline eventfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline eventfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,PLL Control Register" bitfld.long 0x00 16. "HW_CTRL_SEL,Hardware control select" "0,1" newline bitfld.long 0x00 12. "MULTIPHASE_SDM_DISABLE,MULTIPHASE_SDM_DISABLE" "0,1" newline bitfld.long 0x00 11. "DITHER_EN3,Dither Enable 3" "0,1" newline bitfld.long 0x00 10. "DITHER_EN2,Dither Enable 2" "0,1" newline bitfld.long 0x00 9. "DITHER_EN1,Dither Enable 1" "0,1" newline bitfld.long 0x00 8. "SPREADCTL,Modulation type selection" "0,1" newline bitfld.long 0x00 2. "CLKMUX_BYPASS,CLKMUX_BYPASS" "0,1" newline bitfld.long 0x00 1. "CLKMUX_EN,CLKMUX ENABLE" "0,1" newline bitfld.long 0x00 0. "POWERUP,POWERUP" "0,1" group.long 0x10++0x03 line.long 0x00 "ANA_PRG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0: TBD0,1: TBD1,2: TBD2,3: TBD3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0: NORMAL_MODE,1: Increase the frequency of loop filter by 2x" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0: NORMAL_MODE,1: Reduces the frequency of loop filter by 2x" group.long 0x14++0x03 line.long 0x00 "ANA_PRG_SET,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x18++0x03 line.long 0x00 "ANA_PRG_CLR,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline eventfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline eventfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline eventfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x1C++0x03 line.long 0x00 "ANA_PRG_TOG,PLL Analog Program Register" hexmask.long.byte 0x00 24.--31. 1. "ANA_MISC,Analog miscellaneous bits" newline bitfld.long 0x00 16.--17. "IREF_CTRL,IREF_CTRL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "V2I_CTRL,V2I_CTRL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ICP_CTRL,ICP_CTRL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "V2I_CP_CTRL,V2I_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "IPTAT_CP_CTRL,IPTAT_CP_CTRL" "0,1,2,3" newline bitfld.long 0x00 1. "DOUBLE_LF,DOUBLE_LF" "0,1" newline bitfld.long 0x00 0. "HALF_LF,HALF_LF" "0,1" group.long 0x20++0x03 line.long 0x00 "TEST,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0: NORMAL_MODE,1: PLL is in HVST mode[TBD]" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0: Disable TEST MUX,1: Enable TEST MUX" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0: Bring out PLL control voltage through atpsense,1: Bring out PLL Vring voltage through atpsense,2: Bring out V2I test current through atpsense,3: Bring out Charge Pump UP Current through..,4: Bring out Charge Pump DN Current through..,5: ANAMUX_CTRL_5,6: ANAMUX_CTRL_6,7: ANAMUX_CTRL_7" group.long 0x24++0x03 line.long 0x00 "TEST_SET,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TEST_CLR,PLL TEST Register" eventfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline eventfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline eventfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline eventfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline eventfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x2C++0x03 line.long 0x00 "TEST_TOG,PLL TEST Register" bitfld.long 0x00 10. "STRESS_MODE,no description available" "0,1" newline bitfld.long 0x00 9. "MODE,1:1 MODE" "0,1" newline bitfld.long 0x00 8. "OPEN_LOOP_MODE,OPEN_LOOP_MODE" "0,1" newline bitfld.long 0x00 3. "TEST_MUX_ENABLE,TEST_MUX_ENABLE" "0,1" newline bitfld.long 0x00 0.--2. "ANAMUX_CTRL,Analog test signal selection" "0,1,2,3,4,5,6,7" group.long 0x30++0x03 line.long 0x00 "SPREAD_SPECTRUM,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0: Disable spread spectrum modulation,1: Enable spread spectrum modulation" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x34++0x03 line.long 0x00 "SPREAD_SPECTRUM_SET,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x38++0x03 line.long 0x00 "SPREAD_SPECTRUM_CLR,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline eventfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x3C++0x03 line.long 0x00 "SPREAD_SPECTRUM_TOG,PLL Spread Spectrum Register" hexmask.long.word 0x00 16.--31. 1. "STOP,Stop" newline bitfld.long 0x00 15. "ENABLE,ENABLE" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "STEP,STEP" group.long 0x40++0x03 line.long 0x00 "NUMERATOR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x44++0x03 line.long 0x00 "NUMERATOR_SET,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x48++0x03 line.long 0x00 "NUMERATOR_CLR,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x4C++0x03 line.long 0x00 "NUMERATOR_TOG,PLL Numerator Register" hexmask.long 0x00 2.--31. 1. "MFN,Numerator" group.long 0x50++0x03 line.long 0x00 "DENOMINATOR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x54++0x03 line.long 0x00 "DENOMINATOR_SET,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x58++0x03 line.long 0x00 "DENOMINATOR_CLR,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x5C++0x03 line.long 0x00 "DENOMINATOR_TOG,PLL Denominator Register" hexmask.long 0x00 0.--29. 1. "MFD,Denominator" group.long 0x60++0x03 line.long 0x00 "DIV,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0: Divide by 1,1: Divide by 1,2: Divide by 2,3: Divide by 3,4: Divide by 4,5: Divide by 5,6: Divide by 6,7: Divide by 7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x64++0x03 line.long 0x00 "DIV_SET,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x68++0x03 line.long 0x00 "DIV_CLR,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline eventfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" group.long 0x6C++0x03 line.long 0x00 "DIV_TOG,PLL Dividers Register" hexmask.long.word 0x00 16.--24. 1. "MFI,Integer portion of loop divider" newline bitfld.long 0x00 13.--15. "RDIV,Input clock predivider" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 0.--7. 1. "ODIV,Output frequency divider for clock output" rgroup.long 0xF0++0x03 line.long 0x00 "PLL_STATUS,PLL Status Register" hexmask.long 0x00 2.--31. 1. "ANA_MFN,ANA_MFN" newline bitfld.long 0x00 1. "PLL_LOL,PLL_LOL" "0,1" newline bitfld.long 0x00 0. "PLL_LOCK,PLL_LOCK" "0,1" tree.end tree.end tree "PXP" base ad:0x4AE20000 group.long 0x00++0x03 line.long 0x00 "CTRL,Control Register 0" bitfld.long 0x00 31. "SFTRST,SFTRST" "0,1" newline bitfld.long 0x00 30. "CLKGATE,CLKGATE" "0,1" newline rbitfld.long 0x00 29. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 28. "EN_REPEAT,EN_REPEAT" "0,1" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD5,RSVD5" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0: BLK_SIZE_8X8,1: BLK_SIZE_16X16" newline rbitfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 17.--19. "RSVD6,RSVD6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "ENABLE_PS_AS_OUT,ENABLE_PS_AS_OUT" "0,1" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline rbitfld.long 0x00 3.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 2. "NEXT_IRQ_ENABLE,NEXT_IRQ_ENABLE" "0,1" newline bitfld.long 0x00 1. "IRQ_ENABLE,IRQ_ENABLE" "0,1" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x04++0x03 line.long 0x00 "CTRL_SET,Control Register 0" bitfld.long 0x00 31. "SFTRST,SFTRST" "0,1" newline bitfld.long 0x00 30. "CLKGATE,CLKGATE" "0,1" newline rbitfld.long 0x00 29. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 28. "EN_REPEAT,EN_REPEAT" "0,1" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD5,RSVD5" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline rbitfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 17.--19. "RSVD6,RSVD6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "ENABLE_PS_AS_OUT,ENABLE_PS_AS_OUT" "0,1" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline rbitfld.long 0x00 3.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 2. "NEXT_IRQ_ENABLE,NEXT_IRQ_ENABLE" "0,1" newline bitfld.long 0x00 1. "IRQ_ENABLE,IRQ_ENABLE" "0,1" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x08++0x03 line.long 0x00 "CTRL_CLR,Control Register 0" eventfld.long 0x00 31. "SFTRST,SFTRST" "0,1" newline eventfld.long 0x00 30. "CLKGATE,CLKGATE" "0,1" newline eventfld.long 0x00 29. "RSVD4,RSVD4" "0,1" newline eventfld.long 0x00 28. "EN_REPEAT,EN_REPEAT" "0,1" newline eventfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline eventfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline eventfld.long 0x00 25. "RSVD5,RSVD5" "0,1" newline eventfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline eventfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline eventfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline eventfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline eventfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline eventfld.long 0x00 17.--19. "RSVD6,RSVD6" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 16. "ENABLE_PS_AS_OUT,ENABLE_PS_AS_OUT" "0,1" newline eventfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline eventfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline eventfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline eventfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline eventfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline eventfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline eventfld.long 0x00 3.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 2. "NEXT_IRQ_ENABLE,NEXT_IRQ_ENABLE" "0,1" newline eventfld.long 0x00 1. "IRQ_ENABLE,IRQ_ENABLE" "0,1" newline eventfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x0C++0x03 line.long 0x00 "CTRL_TOG,Control Register 0" bitfld.long 0x00 31. "SFTRST,SFTRST" "0,1" newline bitfld.long 0x00 30. "CLKGATE,CLKGATE" "0,1" newline rbitfld.long 0x00 29. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 28. "EN_REPEAT,EN_REPEAT" "0,1" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD5,RSVD5" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline rbitfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 17.--19. "RSVD6,RSVD6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "ENABLE_PS_AS_OUT,ENABLE_PS_AS_OUT" "0,1" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline rbitfld.long 0x00 3.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 2. "NEXT_IRQ_ENABLE,NEXT_IRQ_ENABLE" "0,1" newline bitfld.long 0x00 1. "IRQ_ENABLE,IRQ_ENABLE" "0,1" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x10++0x03 line.long 0x00 "STAT,Status Register" hexmask.long.byte 0x00 24.--31. 1. "BLOCKX,BLOCKX" newline hexmask.long.byte 0x00 16.--23. 1. "BLOCKY,BLOCKY" newline rbitfld.long 0x00 12.--15. "AXI_ERROR_ID_1,AXI_ERROR_ID_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 11. "RSVD2,RSVD2" "0,1" newline eventfld.long 0x00 10. "AXI_READ_ERROR_1,AXI_READ_ERROR_1" "0,1" newline eventfld.long 0x00 9. "AXI_WRITE_ERROR_1,AXI_WRITE_ERROR_1" "0,1" newline rbitfld.long 0x00 8. "RSVD1,RSVD1" "0,1" newline rbitfld.long 0x00 4.--7. "AXI_ERROR_ID_0,AXI_ERROR_ID_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 3. "NEXT_IRQ,NEXT_IRQ" "0,1" newline eventfld.long 0x00 2. "AXI_READ_ERROR_0,AXI_READ_ERROR_0" "0,1" newline eventfld.long 0x00 1. "AXI_WRITE_ERROR_0,AXI_WRITE_ERROR_0" "0,1" newline eventfld.long 0x00 0. "IRQ0,IRQ0" "0,1" group.long 0x14++0x03 line.long 0x00 "STAT_SET,Status Register" hexmask.long.byte 0x00 24.--31. 1. "BLOCKX,BLOCKX" newline hexmask.long.byte 0x00 16.--23. 1. "BLOCKY,BLOCKY" newline rbitfld.long 0x00 12.--15. "AXI_ERROR_ID_1,AXI_ERROR_ID_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 11. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 10. "AXI_READ_ERROR_1,AXI_READ_ERROR_1" "0,1" newline bitfld.long 0x00 9. "AXI_WRITE_ERROR_1,AXI_WRITE_ERROR_1" "0,1" newline rbitfld.long 0x00 8. "RSVD1,RSVD1" "0,1" newline rbitfld.long 0x00 4.--7. "AXI_ERROR_ID_0,AXI_ERROR_ID_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "NEXT_IRQ,NEXT_IRQ" "0,1" newline bitfld.long 0x00 2. "AXI_READ_ERROR_0,AXI_READ_ERROR_0" "0,1" newline bitfld.long 0x00 1. "AXI_WRITE_ERROR_0,AXI_WRITE_ERROR_0" "0,1" newline bitfld.long 0x00 0. "IRQ0,IRQ0" "0,1" group.long 0x18++0x03 line.long 0x00 "STAT_CLR,Status Register" hexmask.long.byte 0x00 24.--31. 1. "BLOCKX,BLOCKX" newline hexmask.long.byte 0x00 16.--23. 1. "BLOCKY,BLOCKY" newline eventfld.long 0x00 12.--15. "AXI_ERROR_ID_1,AXI_ERROR_ID_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 11. "RSVD2,RSVD2" "0,1" newline eventfld.long 0x00 10. "AXI_READ_ERROR_1,AXI_READ_ERROR_1" "0,1" newline eventfld.long 0x00 9. "AXI_WRITE_ERROR_1,AXI_WRITE_ERROR_1" "0,1" newline eventfld.long 0x00 8. "RSVD1,RSVD1" "0,1" newline eventfld.long 0x00 4.--7. "AXI_ERROR_ID_0,AXI_ERROR_ID_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 3. "NEXT_IRQ,NEXT_IRQ" "0,1" newline eventfld.long 0x00 2. "AXI_READ_ERROR_0,AXI_READ_ERROR_0" "0,1" newline eventfld.long 0x00 1. "AXI_WRITE_ERROR_0,AXI_WRITE_ERROR_0" "0,1" newline eventfld.long 0x00 0. "IRQ0,IRQ0" "0,1" group.long 0x1C++0x03 line.long 0x00 "STAT_TOG,Status Register" hexmask.long.byte 0x00 24.--31. 1. "BLOCKX,BLOCKX" newline hexmask.long.byte 0x00 16.--23. 1. "BLOCKY,BLOCKY" newline rbitfld.long 0x00 12.--15. "AXI_ERROR_ID_1,AXI_ERROR_ID_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 11. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 10. "AXI_READ_ERROR_1,AXI_READ_ERROR_1" "0,1" newline bitfld.long 0x00 9. "AXI_WRITE_ERROR_1,AXI_WRITE_ERROR_1" "0,1" newline rbitfld.long 0x00 8. "RSVD1,RSVD1" "0,1" newline rbitfld.long 0x00 4.--7. "AXI_ERROR_ID_0,AXI_ERROR_ID_0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "NEXT_IRQ,NEXT_IRQ" "0,1" newline bitfld.long 0x00 2. "AXI_READ_ERROR_0,AXI_READ_ERROR_0" "0,1" newline bitfld.long 0x00 1. "AXI_WRITE_ERROR_0,AXI_WRITE_ERROR_0" "0,1" newline bitfld.long 0x00 0. "IRQ0,IRQ0" "0,1" group.long 0x20++0x03 line.long 0x00 "OUT_CTRL,Output Buffer Control Register" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,ALPHA" newline bitfld.long 0x00 23. "ALPHA_OUTPUT,ALPHA_OUTPUT" "0,1" newline hexmask.long.word 0x00 10.--22. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 8.--9. "INTERLACED_OUTPUT,INTERLACED_OUTPUT" "0: PROGRESSIVE,1: FIELD0,2: FIELD1,3: INTERLACED" newline rbitfld.long 0x00 5.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "FORMAT,FORMAT" "0: ARGB8888,?,?,?,4: RGB888,5: RGB888P,?,?,8: ARGB1555,9: ARGB4444,?,?,12: RGB555,13: RGB444,14: RGB565,?,16: YUV1P444,?,18: UYVY1P422,19: VYUY1P422,20: Y8,21: Y4,?,?,24: YUV2P422,25: YUV2P420,26: YVU2P422,27: YVU2P420,?..." group.long 0x24++0x03 line.long 0x00 "OUT_CTRL_SET,Output Buffer Control Register" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,ALPHA" newline bitfld.long 0x00 23. "ALPHA_OUTPUT,ALPHA_OUTPUT" "0,1" newline hexmask.long.word 0x00 10.--22. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 8.--9. "INTERLACED_OUTPUT,INTERLACED_OUTPUT" "0,1,2,3" newline rbitfld.long 0x00 5.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x28++0x03 line.long 0x00 "OUT_CTRL_CLR,Output Buffer Control Register" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,ALPHA" newline eventfld.long 0x00 23. "ALPHA_OUTPUT,ALPHA_OUTPUT" "0,1" newline hexmask.long.word 0x00 10.--22. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 8.--9. "INTERLACED_OUTPUT,INTERLACED_OUTPUT" "0,1,2,3" newline eventfld.long 0x00 5.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 0.--4. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x2C++0x03 line.long 0x00 "OUT_CTRL_TOG,Output Buffer Control Register" hexmask.long.byte 0x00 24.--31. 1. "ALPHA,ALPHA" newline bitfld.long 0x00 23. "ALPHA_OUTPUT,ALPHA_OUTPUT" "0,1" newline hexmask.long.word 0x00 10.--22. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 8.--9. "INTERLACED_OUTPUT,INTERLACED_OUTPUT" "0,1,2,3" newline rbitfld.long 0x00 5.--7. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 2. (strings "" "2" )(list 0x0 0x10 ) group.long ($2+0x30)++0x03 line.long 0x00 "OUT_BUF$1,Output Frame Buffer Pointer $1" hexmask.long 0x00 0.--31. 1. "ADDR,ADDR" repeat.end group.long 0x50++0x03 line.long 0x00 "OUT_PITCH,Output Buffer Pitch" hexmask.long.word 0x00 16.--31. 1. "RSVD,RSVD" newline hexmask.long.word 0x00 0.--15. 1. "PITCH,PITCH" group.long 0x60++0x03 line.long 0x00 "OUT_LRC,Output Surface Lower Right Coordinate" rbitfld.long 0x00 30.--31. "RSVD1,RSVD1" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "X,X" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline hexmask.long.word 0x00 0.--13. 1. "Y,Y" group.long 0x70++0x03 line.long 0x00 "OUT_PS_ULC,Processed Surface Upper Left Coordinate" rbitfld.long 0x00 30.--31. "RSVD1,RSVD1" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "X,X" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline hexmask.long.word 0x00 0.--13. 1. "Y,Y" group.long 0x80++0x03 line.long 0x00 "OUT_PS_LRC,Processed Surface Lower Right Coordinate" rbitfld.long 0x00 30.--31. "RSVD1,RSVD1" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "X,X" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline hexmask.long.word 0x00 0.--13. 1. "Y,Y" group.long 0x90++0x03 line.long 0x00 "OUT_AS_ULC,Alpha Surface Upper Left Coordinate" rbitfld.long 0x00 30.--31. "RSVD1,RSVD1" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "X,X" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline hexmask.long.word 0x00 0.--13. 1. "Y,Y" group.long 0xA0++0x03 line.long 0x00 "OUT_AS_LRC,Alpha Surface Lower Right Coordinate" rbitfld.long 0x00 30.--31. "RSVD1,RSVD1" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "X,X" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline hexmask.long.word 0x00 0.--13. 1. "Y,Y" group.long 0xB0++0x03 line.long 0x00 "PS_CTRL,Processed Surface (PS) Control Register" hexmask.long.tbyte 0x00 12.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 10.--11. "DECX,DECX" "0: DISABLE,1: DECX2,2: DECX4,3: DECX8" newline bitfld.long 0x00 8.--9. "DECY,DECY" "0: DISABLE,1: DECY2,2: DECY4,3: DECY8" newline rbitfld.long 0x00 7. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 6. "WB_SWAP,WB_SWAP" "0,1" newline bitfld.long 0x00 0.--5. "FORMAT,FORMAT" "?,?,?,?,4: RGB888,?,?,?,?,?,?,?,12: RGB555,13: RGB444,14: RGB565,?,16: YUV1P444,?,18: UYVY1P422,19: VYUY1P422,20: Y8,21: Y4,?,?,24: YUV2P422,25: YUV2P420,26: YVU2P422,27: YVU2P420,?,?,30: YUV422,31: YUV420,?..." group.long 0xB4++0x03 line.long 0x00 "PS_CTRL_SET,Processed Surface (PS) Control Register" hexmask.long.tbyte 0x00 12.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 10.--11. "DECX,DECX" "0,1,2,3" newline bitfld.long 0x00 8.--9. "DECY,DECY" "0,1,2,3" newline rbitfld.long 0x00 7. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 6. "WB_SWAP,WB_SWAP" "0,1" newline bitfld.long 0x00 0.--5. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xB8++0x03 line.long 0x00 "PS_CTRL_CLR,Processed Surface (PS) Control Register" hexmask.long.tbyte 0x00 12.--31. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 10.--11. "DECX,DECX" "0,1,2,3" newline eventfld.long 0x00 8.--9. "DECY,DECY" "0,1,2,3" newline eventfld.long 0x00 7. "RSVD0,RSVD0" "0,1" newline eventfld.long 0x00 6. "WB_SWAP,WB_SWAP" "0,1" newline eventfld.long 0x00 0.--5. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xBC++0x03 line.long 0x00 "PS_CTRL_TOG,Processed Surface (PS) Control Register" hexmask.long.tbyte 0x00 12.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 10.--11. "DECX,DECX" "0,1,2,3" newline bitfld.long 0x00 8.--9. "DECY,DECY" "0,1,2,3" newline rbitfld.long 0x00 7. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 6. "WB_SWAP,WB_SWAP" "0,1" newline bitfld.long 0x00 0.--5. "FORMAT,FORMAT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC0++0x03 line.long 0x00 "PS_BUF,PS Input Buffer Address" hexmask.long 0x00 0.--31. 1. "ADDR,ADDR" group.long 0xD0++0x03 line.long 0x00 "PS_UBUF,PS U/Cb or 2 Plane UV Input Buffer Address" hexmask.long 0x00 0.--31. 1. "ADDR,ADDR" group.long 0xE0++0x03 line.long 0x00 "PS_VBUF,PS V/Cr Input Buffer Address" hexmask.long 0x00 0.--31. 1. "ADDR,ADDR" group.long 0xF0++0x03 line.long 0x00 "PS_PITCH,Processed Surface Pitch" hexmask.long.word 0x00 16.--31. 1. "RSVD,RSVD" newline hexmask.long.word 0x00 0.--15. 1. "PITCH,PITCH" group.long 0x100++0x03 line.long 0x00 "PS_BACKGROUND_0,PS Background Color" hexmask.long.byte 0x00 24.--31. 1. "RSVD,RSVD" newline hexmask.long.tbyte 0x00 0.--23. 1. "COLOR,COLOR" group.long 0x110++0x03 line.long 0x00 "PS_SCALE,PS Scale Factor Register" rbitfld.long 0x00 31. "RSVD2,RSVD2" "0,1" newline hexmask.long.word 0x00 16.--30. 1. "YSCALE,YSCALE" newline rbitfld.long 0x00 15. "RSVD1,RSVD1" "0,1" newline hexmask.long.word 0x00 0.--14. 1. "XSCALE,XSCALE" group.long 0x120++0x03 line.long 0x00 "PS_OFFSET,PS Scale Offset Register" rbitfld.long 0x00 28.--31. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 16.--27. 1. "YOFFSET,YOFFSET" newline rbitfld.long 0x00 12.--15. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--11. 1. "XOFFSET,XOFFSET" group.long 0x130++0x03 line.long 0x00 "PS_CLRKEYLOW_0,PS Color Key Low" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x140++0x03 line.long 0x00 "PS_CLRKEYHIGH_0,PS Color Key High" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x150++0x03 line.long 0x00 "AS_CTRL,Alpha Surface Control" hexmask.long.word 0x00 22.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 21. "ALPHA1_INVERT,ALPHA1_INVERT" "0,1" newline bitfld.long 0x00 20. "ALPHA0_INVERT,ALPHA0_INVERT" "0,1" newline bitfld.long 0x00 16.--19. "ROP,ROP" "0: MASKAS,1: MASKNOTAS,2: MASKASNOT,3: MERGEAS,4: MERGENOTAS,5: MERGEASNOT,6: NOTCOPYAS,7: NOT,8: NOTMASKAS,9: NOTMERGEAS,10: XORAS,11: NOTXORAS,?..." newline hexmask.long.byte 0x00 8.--15. 1. "ALPHA,ALPHA" newline bitfld.long 0x00 4.--7. "FORMAT,FORMAT" "0: ARGB8888,1: RGBA8888,?,?,4: RGB888,?,?,?,8: ARGB1555,9: ARGB4444,?,?,12: RGB555,13: RGB444,14: RGB565,?..." newline bitfld.long 0x00 3. "ENABLE_COLORKEY,ENABLE_COLORKEY" "0,1" newline bitfld.long 0x00 1.--2. "ALPHA_CTRL,ALPHA_CTRL" "0: Embedded,1: Override,2: Multiply,3: ROPs" newline rbitfld.long 0x00 0. "RSVD0,RSVD0" "0,1" group.long 0x160++0x03 line.long 0x00 "AS_BUF,Alpha Surface Buffer Pointer" hexmask.long 0x00 0.--31. 1. "ADDR,ADDR" group.long 0x170++0x03 line.long 0x00 "AS_PITCH,Alpha Surface Pitch" hexmask.long.word 0x00 16.--31. 1. "RSVD,RSVD" newline hexmask.long.word 0x00 0.--15. 1. "PITCH,PITCH" group.long 0x180++0x03 line.long 0x00 "AS_CLRKEYLOW_0,Overlay Color Key Low" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x190++0x03 line.long 0x00 "AS_CLRKEYHIGH_0,Overlay Color Key High" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x1A0++0x03 line.long 0x00 "CSC1_COEF0,Color Space Conversion Coefficient Register 0" bitfld.long 0x00 31. "YCBCR_MODE,YCBCR_MODE" "0,1" newline bitfld.long 0x00 30. "BYPASS,BYPASS" "0,1" newline rbitfld.long 0x00 29. "RSVD1,RSVD1" "0,1" newline hexmask.long.word 0x00 18.--28. 1. "C0,C0" newline hexmask.long.word 0x00 9.--17. 1. "UV_OFFSET,UV_OFFSET" newline hexmask.long.word 0x00 0.--8. 1. "Y_OFFSET,Y_OFFSET" group.long 0x1B0++0x03 line.long 0x00 "CSC1_COEF1,Color Space Conversion Coefficient Register 1" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "C1,C1" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "C4,C4" group.long 0x1C0++0x03 line.long 0x00 "CSC1_COEF2,Color Space Conversion Coefficient Register 2" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "C2,C2" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "C3,C3" group.long 0x1D0++0x03 line.long 0x00 "CSC2_CTRL,Color Space Conversion Control Register" hexmask.long 0x00 3.--31. 1. "RSVD,RSVD" newline bitfld.long 0x00 1.--2. "CSC_MODE,CSC_MODE" "0: YUV2RGB,1: YCbCr2RGB,2: RGB2YUV,3: RGB2YCbCr" newline bitfld.long 0x00 0. "BYPASS,BYPASS" "0,1" group.long 0x1E0++0x03 line.long 0x00 "CSC2_COEF0,Color Space Conversion Coefficient Register 0" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "A2,A2" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "A1,A1" group.long 0x1F0++0x03 line.long 0x00 "CSC2_COEF1,Color Space Conversion Coefficient Register 1" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "B1,B1" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "A3,A3" group.long 0x200++0x03 line.long 0x00 "CSC2_COEF2,Color Space Conversion Coefficient Register 2" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "B3,B3" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "B2,B2" group.long 0x210++0x03 line.long 0x00 "CSC2_COEF3,Color Space Conversion Coefficient Register 3" rbitfld.long 0x00 27.--31. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "C2,C2" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "C1,C1" group.long 0x220++0x03 line.long 0x00 "CSC2_COEF4,Color Space Conversion Coefficient Register 4" hexmask.long.byte 0x00 25.--31. 1. "RSVD1,RSVD1" newline hexmask.long.word 0x00 16.--24. 1. "D1,D1" newline rbitfld.long 0x00 11.--15. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "C3,C3" group.long 0x230++0x03 line.long 0x00 "CSC2_COEF5,Color Space Conversion Coefficient Register 5" hexmask.long.byte 0x00 25.--31. 1. "RSVD1,RSVD1" newline hexmask.long.word 0x00 16.--24. 1. "D3,D3" newline hexmask.long.byte 0x00 9.--15. 1. "RSVD0,RSVD0" newline hexmask.long.word 0x00 0.--8. 1. "D2,D2" group.long 0x290++0x03 line.long 0x00 "ALPHA_A_CTRL,PXP Alpha Engine A Control Register" hexmask.long.byte 0x00 24.--31. 1. "S1_GLOBAL_ALPHA,S1_GLOBAL_ALPHA" newline hexmask.long.byte 0x00 16.--23. 1. "S0_GLOBAL_ALPHA,S0_GLOBAL_ALPHA" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline bitfld.long 0x00 13. "S1_COLOR_MODE,S1_COLOR_MODE" "0: straight mode for s1 color,1: multiply mode for s1 color" newline bitfld.long 0x00 12. "S1_ALPHA_MODE,S1_ALPHA_MODE" "0: straight mode for s1 alpha,1: inversed mode for s1 alpha" newline bitfld.long 0x00 10.--11. "S1_GLOBAL_ALPHA_MODE,S1_GLOBAL_ALPHA_MODE" "0: using global alpha,1: using local alpha,2: using scaled alpha,3: using scaled alpha" newline bitfld.long 0x00 8.--9. "S1_S0_FACTOR_MODE,S1_S0_FACTOR_MODE" "0: using 1,1: using 0,2: using straight alpha,3: using inverse alpha" newline rbitfld.long 0x00 7. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 6. "S0_COLOR_MODE,S0_COLOR_MODE" "0: straight mode for s0 color,1: multiply mode for s0 color" newline bitfld.long 0x00 5. "S0_ALPHA_MODE,S0_ALPHA_MODE" "0: straight mode for s0 alpha,1: inversed mode for s0 alpha" newline bitfld.long 0x00 3.--4. "S0_GLOBAL_ALPHA_MODE,S0_GLOBAL_ALPHA_MODE" "0: using global alpha,1: using local alpha,2: using scaled alpha,3: using scaled alpha" newline bitfld.long 0x00 1.--2. "S0_S1_FACTOR_MODE,S0_S1_FACTOR_MODE" "0: using 1,1: using 0,2: using straight alpha,3: using inverse alpha" newline bitfld.long 0x00 0. "PORTER_DUFF_ENABLE,PORTER_DUFF_ENABLE" "0: porter duff disable,1: porter duff enable" group.long 0x2A0++0x03 line.long 0x00 "ALPHA_B_CTRL,PXP Alpha Engine B Control Register" hexmask.long.byte 0x00 24.--31. 1. "S1_GLOBAL_ALPHA,S1_GLOBAL_ALPHA" newline hexmask.long.byte 0x00 16.--23. 1. "S0_GLOBAL_ALPHA,S0_GLOBAL_ALPHA" newline rbitfld.long 0x00 14.--15. "RSVD0,RSVD0" "0,1,2,3" newline bitfld.long 0x00 13. "S1_COLOR_MODE,S1_COLOR_MODE" "0: straight mode for s1 color,1: multiply mode for s1 color" newline bitfld.long 0x00 12. "S1_ALPHA_MODE,S1_ALPHA_MODE" "0: straight mode for s1 alpha,1: inversed mode for s1 alpha" newline bitfld.long 0x00 10.--11. "S1_GLOBAL_ALPHA_MODE,S1_GLOBAL_ALPHA_MODE" "0: using global alpha,1: using local alpha,2: using scaled alpha,3: using scaled alpha" newline bitfld.long 0x00 8.--9. "S1_S0_FACTOR_MODE,S1_S0_FACTOR_MODE" "0: using 1,1: using 0,2: using straight alpha,3: using inverse alpha" newline rbitfld.long 0x00 7. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 6. "S0_COLOR_MODE,S0_COLOR_MODE" "0: straight mode for s0 color,1: multiply mode for s0 color" newline bitfld.long 0x00 5. "S0_ALPHA_MODE,S0_ALPHA_MODE" "0: straight mode for s0 alpha,1: inversed mode for s0 alpha" newline bitfld.long 0x00 3.--4. "S0_GLOBAL_ALPHA_MODE,S0_GLOBAL_ALPHA_MODE" "0: using global alpha,1: using local alpha,2: using scaled alpha,3: using scaled alpha" newline bitfld.long 0x00 1.--2. "S0_S1_FACTOR_MODE,S0_S1_FACTOR_MODE" "0: using 1,1: using 0,2: using straight alpha,3: using inverse alpha" newline bitfld.long 0x00 0. "PORTER_DUFF_ENABLE,PORTER_DUFF_ENABLE" "0: porter duff disable,1: porter duff enable" group.long 0x2B0++0x03 line.long 0x00 "ALPHA_B_CTRL_1,This register defines software define pixels for alpha blending" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 4.--7. "ROP,ROP" "0: MASKAS,1: MASKNOTAS,2: MASKASNOT,3: MERGEAS,4: MERGENOTAS,5: MERGEASNOT,6: NOTCOPYAS,7: NOT,8: NOTMASKAS,9: NOTMERGEAS,10: XORAS,11: NOTXORAS,?..." newline rbitfld.long 0x00 2.--3. "RSVD1,RSVD1" "0,1,2,3" newline bitfld.long 0x00 1. "OL_CLRKEY_ENABLE,OL_CLRKEY_ENABLE" "0,1" newline bitfld.long 0x00 0. "ROP_ENABLE,ROP_ENABLE" "0,1" group.long 0x2C0++0x03 line.long 0x00 "PS_BACKGROUND_1,PS Background Color 1" hexmask.long.byte 0x00 24.--31. 1. "RSVD,RSVD" newline hexmask.long.tbyte 0x00 0.--23. 1. "COLOR,COLOR" group.long 0x2D0++0x03 line.long 0x00 "PS_CLRKEYLOW_1,PS Color Key Low 1" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x2E0++0x03 line.long 0x00 "PS_CLRKEYHIGH_1,PS Color Key High 1" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x2F0++0x03 line.long 0x00 "AS_CLRKEYLOW_1,Overlay Color Key Low" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x300++0x03 line.long 0x00 "AS_CLRKEYHIGH_1,Overlay Color Key High" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.tbyte 0x00 0.--23. 1. "PIXEL,PIXEL" group.long 0x310++0x03 line.long 0x00 "CTRL2,Control Register 2" rbitfld.long 0x00 28.--31. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0: BLK_SIZE_8X8,1: BLK_SIZE_16X16" newline rbitfld.long 0x00 22. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 16.--19. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline hexmask.long.byte 0x00 1.--7. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x314++0x03 line.long 0x00 "CTRL2_SET,Control Register 2" rbitfld.long 0x00 28.--31. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline rbitfld.long 0x00 22. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 16.--19. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline hexmask.long.byte 0x00 1.--7. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x318++0x03 line.long 0x00 "CTRL2_CLR,Control Register 2" eventfld.long 0x00 28.--31. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline eventfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline eventfld.long 0x00 25. "RSVD4,RSVD4" "0,1" newline eventfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline eventfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline eventfld.long 0x00 22. "RSVD2,RSVD2" "0,1" newline eventfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline eventfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline eventfld.long 0x00 16.--19. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline eventfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline eventfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline eventfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline eventfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline eventfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline hexmask.long.byte 0x00 1.--7. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x31C++0x03 line.long 0x00 "CTRL2_TOG,Control Register 2" rbitfld.long 0x00 28.--31. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "ENABLE_ROTATE1,ENABLE_ROTATE1" "0,1" newline bitfld.long 0x00 26. "ENABLE_ROTATE0,ENABLE_ROTATE0" "0,1" newline rbitfld.long 0x00 25. "RSVD4,RSVD4" "0,1" newline bitfld.long 0x00 24. "ENABLE_CSC2,ENABLE_CSC2" "0,1" newline bitfld.long 0x00 23. "BLOCK_SIZE,BLOCK_SIZE" "0,1" newline rbitfld.long 0x00 22. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 21. "ENABLE_ALPHA_B,ENABLE_ALPHA_B" "0,1" newline bitfld.long 0x00 20. "ENABLE_INPUT_FETCH_STORE,ENABLE_INPUT_FETCH_STORE" "0,1" newline rbitfld.long 0x00 16.--19. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "VFLIP1,VFLIP1" "0,1" newline bitfld.long 0x00 14. "HFLIP1,HFLIP1" "0,1" newline bitfld.long 0x00 12.--13. "ROTATE1,ROTATE1" "0,1,2,3" newline bitfld.long 0x00 11. "VFLIP0,VFLIP0" "0,1" newline bitfld.long 0x00 10. "HFLIP0,HFLIP0" "0,1" newline bitfld.long 0x00 8.--9. "ROTATE0,ROTATE0" "0,1,2,3" newline hexmask.long.byte 0x00 1.--7. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 0. "ENABLE,ENABLE" "0,1" group.long 0x320++0x03 line.long 0x00 "POWER_REG0,PXP Power Control Register" hexmask.long.tbyte 0x00 12.--31. 1. "CTRL,CTRL" newline bitfld.long 0x00 9.--11. "ROT0_MEM_LP_STATE,ROT0_MEM_LP_STATE" "0: NONE,1: LS,2: DS,?,4: SD,?..." newline hexmask.long.word 0x00 0.--8. 1. "RSVD0,RSVD0" group.long 0x330++0x03 line.long 0x00 "POWER_REG1,PXP Power Control Register 1" hexmask.long 0x00 3.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 0.--2. "ROT1_MEM_LP_STATE,ROT1_MEM_LP_STATE" "0: NONE,1: LS,2: DS,?,4: SD,?..." group.long 0x340++0x03 line.long 0x00 "DATA_PATH_CTRL0,This register helps decide the data path gthrough the PXP" bitfld.long 0x00 30.--31. "MUX15_SEL,MUX15_SEL" "0: Output of Input fetch Channel 0,1: Output of MUX 10,2: No output,3: No Output" newline bitfld.long 0x00 28.--29. "MUX14_SEL,MUX14_SEL" "0: Output of Rotation 0,1: Output of MUX 11,2: No output,3: No Output" newline bitfld.long 0x00 26.--27. "MUX13_SEL,MUX13_SEL" "0: No output,1: Input stage Fetch engine Channel 1,2: No output,3: No Output" newline bitfld.long 0x00 24.--25. "MUX12_SEL,MUX12_SEL" "0: Output of MUX 10,1: Output of MUX 11,2: No output,3: No Output" newline bitfld.long 0x00 22.--23. "MUX11_SEL,MUX11_SEL" "0: No output,1: Output of MUX 8,2: No output,3: No Output" newline bitfld.long 0x00 20.--21. "MUX10_SEL,MUX10_SEL" "0: Output of MUX 7,1: No output,2: No output,3: No Output" newline bitfld.long 0x00 18.--19. "MUX9_SEL,MUX9_SEL" "0,1,2,3" newline bitfld.long 0x00 16.--17. "MUX8_SEL,MUX8_SEL" "0: Output of CSC2,1: Output of alpha blending / color key 0,2: No output,3: No Output" newline bitfld.long 0x00 14.--15. "MUX7_SEL,MUX7_SEL" "0: Output of MUX 5,1: Output of CSC2,2: No output,3: No Output" newline bitfld.long 0x00 12.--13. "MUX6_SEL,MUX6_SEL" "0: Output of alpha blending / color key 1,1: Output of alpha blending / color key 0,2: No output,3: No Output" newline bitfld.long 0x00 10.--11. "MUX5_SEL,MUX5_SEL" "0: Output of MUX1,1: Output of alpha blending / color key 1,2: No output,3: No Output" newline bitfld.long 0x00 8.--9. "MUX4_SEL,MUX4_SEL" "0,1,2,3" newline bitfld.long 0x00 6.--7. "MUX3_SEL,MUX3_SEL" "0: Output of the CSC1 engine,1: Output of the Rotation1 engine,2: No output,3: No Output" newline bitfld.long 0x00 4.--5. "MUX2_SEL,MUX2_SEL" "0: Input stage Fetch engine Channel 1,1: Output of the Rotation1 engine,2: No output,3: No Output" newline bitfld.long 0x00 2.--3. "MUX1_SEL,MUX1_SEL" "0: Input stage Fetch engine Channel 0,1: Output of the Rotation1 engine,2: No output,3: No Output" newline bitfld.long 0x00 0.--1. "MUX0_SEL,MUX0_SEL" "0: Input from Process Surface engine,1: Input stage Fetch engine Channel 0,2: Input stage Fetch engine Channel 1,3: No output" group.long 0x344++0x03 line.long 0x00 "DATA_PATH_CTRL0_SET,This register helps decide the data path gthrough the PXP" bitfld.long 0x00 30.--31. "MUX15_SEL,MUX15_SEL" "0,1,2,3" newline bitfld.long 0x00 28.--29. "MUX14_SEL,MUX14_SEL" "0,1,2,3" newline bitfld.long 0x00 26.--27. "MUX13_SEL,MUX13_SEL" "0,1,2,3" newline bitfld.long 0x00 24.--25. "MUX12_SEL,MUX12_SEL" "0,1,2,3" newline bitfld.long 0x00 22.--23. "MUX11_SEL,MUX11_SEL" "0,1,2,3" newline bitfld.long 0x00 20.--21. "MUX10_SEL,MUX10_SEL" "0,1,2,3" newline bitfld.long 0x00 18.--19. "MUX9_SEL,MUX9_SEL" "0,1,2,3" newline bitfld.long 0x00 16.--17. "MUX8_SEL,MUX8_SEL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "MUX7_SEL,MUX7_SEL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "MUX6_SEL,MUX6_SEL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "MUX5_SEL,MUX5_SEL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "MUX4_SEL,MUX4_SEL" "0,1,2,3" newline bitfld.long 0x00 6.--7. "MUX3_SEL,MUX3_SEL" "0,1,2,3" newline bitfld.long 0x00 4.--5. "MUX2_SEL,MUX2_SEL" "0,1,2,3" newline bitfld.long 0x00 2.--3. "MUX1_SEL,MUX1_SEL" "0,1,2,3" newline bitfld.long 0x00 0.--1. "MUX0_SEL,MUX0_SEL" "0,1,2,3" group.long 0x348++0x03 line.long 0x00 "DATA_PATH_CTRL0_CLR,This register helps decide the data path gthrough the PXP" eventfld.long 0x00 30.--31. "MUX15_SEL,MUX15_SEL" "0,1,2,3" newline eventfld.long 0x00 28.--29. "MUX14_SEL,MUX14_SEL" "0,1,2,3" newline eventfld.long 0x00 26.--27. "MUX13_SEL,MUX13_SEL" "0,1,2,3" newline eventfld.long 0x00 24.--25. "MUX12_SEL,MUX12_SEL" "0,1,2,3" newline eventfld.long 0x00 22.--23. "MUX11_SEL,MUX11_SEL" "0,1,2,3" newline eventfld.long 0x00 20.--21. "MUX10_SEL,MUX10_SEL" "0,1,2,3" newline eventfld.long 0x00 18.--19. "MUX9_SEL,MUX9_SEL" "0,1,2,3" newline eventfld.long 0x00 16.--17. "MUX8_SEL,MUX8_SEL" "0,1,2,3" newline eventfld.long 0x00 14.--15. "MUX7_SEL,MUX7_SEL" "0,1,2,3" newline eventfld.long 0x00 12.--13. "MUX6_SEL,MUX6_SEL" "0,1,2,3" newline eventfld.long 0x00 10.--11. "MUX5_SEL,MUX5_SEL" "0,1,2,3" newline eventfld.long 0x00 8.--9. "MUX4_SEL,MUX4_SEL" "0,1,2,3" newline eventfld.long 0x00 6.--7. "MUX3_SEL,MUX3_SEL" "0,1,2,3" newline eventfld.long 0x00 4.--5. "MUX2_SEL,MUX2_SEL" "0,1,2,3" newline eventfld.long 0x00 2.--3. "MUX1_SEL,MUX1_SEL" "0,1,2,3" newline eventfld.long 0x00 0.--1. "MUX0_SEL,MUX0_SEL" "0,1,2,3" group.long 0x34C++0x03 line.long 0x00 "DATA_PATH_CTRL0_TOG,This register helps decide the data path gthrough the PXP" bitfld.long 0x00 30.--31. "MUX15_SEL,MUX15_SEL" "0,1,2,3" newline bitfld.long 0x00 28.--29. "MUX14_SEL,MUX14_SEL" "0,1,2,3" newline bitfld.long 0x00 26.--27. "MUX13_SEL,MUX13_SEL" "0,1,2,3" newline bitfld.long 0x00 24.--25. "MUX12_SEL,MUX12_SEL" "0,1,2,3" newline bitfld.long 0x00 22.--23. "MUX11_SEL,MUX11_SEL" "0,1,2,3" newline bitfld.long 0x00 20.--21. "MUX10_SEL,MUX10_SEL" "0,1,2,3" newline bitfld.long 0x00 18.--19. "MUX9_SEL,MUX9_SEL" "0,1,2,3" newline bitfld.long 0x00 16.--17. "MUX8_SEL,MUX8_SEL" "0,1,2,3" newline bitfld.long 0x00 14.--15. "MUX7_SEL,MUX7_SEL" "0,1,2,3" newline bitfld.long 0x00 12.--13. "MUX6_SEL,MUX6_SEL" "0,1,2,3" newline bitfld.long 0x00 10.--11. "MUX5_SEL,MUX5_SEL" "0,1,2,3" newline bitfld.long 0x00 8.--9. "MUX4_SEL,MUX4_SEL" "0,1,2,3" newline bitfld.long 0x00 6.--7. "MUX3_SEL,MUX3_SEL" "0,1,2,3" newline bitfld.long 0x00 4.--5. "MUX2_SEL,MUX2_SEL" "0,1,2,3" newline bitfld.long 0x00 2.--3. "MUX1_SEL,MUX1_SEL" "0,1,2,3" newline bitfld.long 0x00 0.--1. "MUX0_SEL,MUX0_SEL" "0,1,2,3" group.long 0x390++0x03 line.long 0x00 "IRQ_MASK,PXP IRQ Mask Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 12. "FIRST_STORE_IRQ_EN,FIRST_STORE_IRQ_EN" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 3. "FIRST_CH1_STORE_IRQ_EN,FIRST_CH1_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 2. "FIRST_CH0_STORE_IRQ_EN,FIRST_CH0_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ_EN,FIRST_CH1_PREFETCH_IRQ_EN" "0,1" newline bitfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ_EN,FIRST_CH0_PREFETCH_IRQ_EN" "0,1" group.long 0x394++0x03 line.long 0x00 "IRQ_MASK_SET,PXP IRQ Mask Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 12. "FIRST_STORE_IRQ_EN,FIRST_STORE_IRQ_EN" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 3. "FIRST_CH1_STORE_IRQ_EN,FIRST_CH1_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 2. "FIRST_CH0_STORE_IRQ_EN,FIRST_CH0_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ_EN,FIRST_CH1_PREFETCH_IRQ_EN" "0,1" newline bitfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ_EN,FIRST_CH0_PREFETCH_IRQ_EN" "0,1" group.long 0x398++0x03 line.long 0x00 "IRQ_MASK_CLR,PXP IRQ Mask Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 12. "FIRST_STORE_IRQ_EN,FIRST_STORE_IRQ_EN" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 3. "FIRST_CH1_STORE_IRQ_EN,FIRST_CH1_STORE_IRQ_EN" "0,1" newline eventfld.long 0x00 2. "FIRST_CH0_STORE_IRQ_EN,FIRST_CH0_STORE_IRQ_EN" "0,1" newline eventfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ_EN,FIRST_CH1_PREFETCH_IRQ_EN" "0,1" newline eventfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ_EN,FIRST_CH0_PREFETCH_IRQ_EN" "0,1" group.long 0x39C++0x03 line.long 0x00 "IRQ_MASK_TOG,PXP IRQ Mask Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 12. "FIRST_STORE_IRQ_EN,FIRST_STORE_IRQ_EN" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 3. "FIRST_CH1_STORE_IRQ_EN,FIRST_CH1_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 2. "FIRST_CH0_STORE_IRQ_EN,FIRST_CH0_STORE_IRQ_EN" "0,1" newline bitfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ_EN,FIRST_CH1_PREFETCH_IRQ_EN" "0,1" newline bitfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ_EN,FIRST_CH0_PREFETCH_IRQ_EN" "0,1" group.long 0x3A0++0x03 line.long 0x00 "IRQ,PXP Interrupt Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 12. "FIRST_STORE_IRQ,FIRST_STORE_IRQ" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 3. "FIRST_CH1_STORE_IRQ,FIRST_CH1_STORE_IRQ" "0,1" newline eventfld.long 0x00 2. "FIRST_CH0_STORE_IRQ,FIRST_CH0_STORE_IRQ" "0,1" newline eventfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ,FIRST_CH1_PREFETCH_IRQ" "0,1" newline eventfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ,FIRST_CH0_PREFETCH_IRQ" "0,1" group.long 0x3A4++0x03 line.long 0x00 "IRQ_SET,PXP Interrupt Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 12. "FIRST_STORE_IRQ,FIRST_STORE_IRQ" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 3. "FIRST_CH1_STORE_IRQ,FIRST_CH1_STORE_IRQ" "0,1" newline bitfld.long 0x00 2. "FIRST_CH0_STORE_IRQ,FIRST_CH0_STORE_IRQ" "0,1" newline bitfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ,FIRST_CH1_PREFETCH_IRQ" "0,1" newline bitfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ,FIRST_CH0_PREFETCH_IRQ" "0,1" group.long 0x3A8++0x03 line.long 0x00 "IRQ_CLR,PXP Interrupt Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 12. "FIRST_STORE_IRQ,FIRST_STORE_IRQ" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 3. "FIRST_CH1_STORE_IRQ,FIRST_CH1_STORE_IRQ" "0,1" newline eventfld.long 0x00 2. "FIRST_CH0_STORE_IRQ,FIRST_CH0_STORE_IRQ" "0,1" newline eventfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ,FIRST_CH1_PREFETCH_IRQ" "0,1" newline eventfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ,FIRST_CH0_PREFETCH_IRQ" "0,1" group.long 0x3AC++0x03 line.long 0x00 "IRQ_TOG,PXP Interrupt Register" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD1,RSVD1" newline bitfld.long 0x00 12. "FIRST_STORE_IRQ,FIRST_STORE_IRQ" "0,1" newline hexmask.long.byte 0x00 4.--11. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 3. "FIRST_CH1_STORE_IRQ,FIRST_CH1_STORE_IRQ" "0,1" newline bitfld.long 0x00 2. "FIRST_CH0_STORE_IRQ,FIRST_CH0_STORE_IRQ" "0,1" newline bitfld.long 0x00 1. "FIRST_CH1_PREFETCH_IRQ,FIRST_CH1_PREFETCH_IRQ" "0,1" newline bitfld.long 0x00 0. "FIRST_CH0_PREFETCH_IRQ,FIRST_CH0_PREFETCH_IRQ" "0,1" group.long 0x400++0x03 line.long 0x00 "NEXT,Next Frame Pointer" hexmask.long 0x00 2.--31. 1. "POINTER,POINTER" newline rbitfld.long 0x00 1. "RSVD,RSVD" "0,1" newline rbitfld.long 0x00 0. "ENABLED,ENABLED" "0,1" group.long 0x410++0x03 line.long 0x00 "DEBUGCTRL,Debug Control Register" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD,RSVD" newline hexmask.long.byte 0x00 0.--7. 1. "SELECT,SELECT" rgroup.long 0x420++0x03 line.long 0x00 "DEBUG,Debug Register" hexmask.long 0x00 0.--31. 1. "DATA,DATA" rgroup.long 0x430++0x03 line.long 0x00 "VERSION,Version Register" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,MAJOR" newline hexmask.long.byte 0x00 16.--23. 1. "MINOR,MINOR" newline hexmask.long.word 0x00 0.--15. 1. "STEP,STEP" group.long 0x450++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH0,Pre-fetch engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0: Arbitration disable,1: Arbitration enable" newline rbitfld.long 0x00 26.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0: 1 line,1: 8 lines,2: 16 lines,3: 16 lines" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0: NUM_8_BYTES,1: NUM_16_BYTES,2: NUM_32_BYTES,3: NUM_64_BYTES" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0: VFLIP disable,1: VFLIP enable" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0: HFLIP disable,1: VFLIP enable" newline rbitfld.long 0x00 6.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "HIGH_BYTE,HIGH_BYTE" "0: In 64 bit mode the output high byte will use..,1: In 64 bit mode the output high byte will use.." newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0: Channel 0 is from memory,1: Channel 0 is from previous process engine" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0: Handshake with the store engine is disabled,1: Handshake with the store engine is enabled" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0: BLK_SIZE_8x8,1: BLK_SIZE_16x16" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0: Prefetch in scan mode,1: Prefetch in block mode" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0: Prefetch function is disable,1: Prefetch function is enable" group.long 0x454++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH0_SET,Pre-fetch engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline rbitfld.long 0x00 26.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline rbitfld.long 0x00 6.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "HIGH_BYTE,HIGH_BYTE" "0,1" newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x458++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH0_CLR,Pre-fetch engine Control Channel 0 Register" eventfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline eventfld.long 0x00 26.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline eventfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline eventfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline eventfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline eventfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline eventfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline eventfld.long 0x00 6.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 5. "HIGH_BYTE,HIGH_BYTE" "0,1" newline eventfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline eventfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline eventfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline eventfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline eventfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x45C++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH0_TOG,Pre-fetch engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline rbitfld.long 0x00 26.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline rbitfld.long 0x00 6.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. "HIGH_BYTE,HIGH_BYTE" "0,1" newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x460++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH1,Pre-fetch engine Control Channel 1 Register" rbitfld.long 0x00 26.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0: 1 line,1: 8 lines,2: 16 lines,3: 16 lines" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0: NUM_8_BYTES,1: NUM_16_BYTES,2: NUM_32_BYTES,3: NUM_64_BYTES" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0: ROT_0,1: ROT_90,2: ROT_180,3: ROT_270" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0: VFLIP disable,1: VFLIP enable" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0: HFLIP disable,1: VFLIP enable" newline rbitfld.long 0x00 5.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0: Channel 1 is from memory,1: Channel 1 is from previous process engine" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0: Handshake with the store engine is disabled,1: Handshake with the store engine is enabled" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0: BLK_SIZE_8x8,1: BLK_SIZE_16x16" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0: Prefetch in scan mode,1: Prefetch in block mode" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0: prefetch function is disable,1: prefetch function is enable" group.long 0x464++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH1_SET,Pre-fetch engine Control Channel 1 Register" rbitfld.long 0x00 26.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline rbitfld.long 0x00 5.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x468++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH1_CLR,Pre-fetch engine Control Channel 1 Register" eventfld.long 0x00 26.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline eventfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline eventfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline eventfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline eventfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline eventfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline eventfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline eventfld.long 0x00 5.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline eventfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline eventfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline eventfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline eventfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x46C++0x03 line.long 0x00 "INPUT_FETCH_CTRL_CH1_TOG,Pre-fetch engine Control Channel 1 Register" rbitfld.long 0x00 26.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24.--25. "HANDSHAKE_SCAN_LINE_NUM,HANDSHAKE_SCAN_LINE_NUM" "0,1,2,3" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "RD_NUM_BYTES,RD_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 14.--15. "RSVD2,RSVD2" "0,1,2,3" newline bitfld.long 0x00 12.--13. "ROTATION_ANGLE,ROTATION_ANGLE" "0,1,2,3" newline rbitfld.long 0x00 11. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 10. "VFLIP,VFLIP" "0,1" newline bitfld.long 0x00 9. "HFLIP,HFLIP" "0,1" newline rbitfld.long 0x00 5.--8. "RSVD4,RSVD4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "BYPASS_PIXEL_EN,BYPASS_PIXEL_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" rgroup.long 0x470++0x03 line.long 0x00 "INPUT_FETCH_STATUS_CH0,Pre-fetch engine status Channel 0 Register" hexmask.long.word 0x00 16.--31. 1. "PREFETCH_BLOCK_Y,PREFETCH_BLOCK_Y" newline hexmask.long.word 0x00 0.--15. 1. "PREFETCH_BLOCK_X,PREFETCH_BLOCK_X" rgroup.long 0x480++0x03 line.long 0x00 "INPUT_FETCH_STATUS_CH1,Store engine status Channel 1 Register" hexmask.long.word 0x00 16.--31. 1. "PREFETCH_BLOCK_Y,PREFETCH_BLOCK_Y" newline hexmask.long.word 0x00 0.--15. 1. "PREFETCH_BLOCK_X,PREFETCH_BLOCK_X" group.long 0x490++0x03 line.long 0x00 "INPUT_FETCH_ACTIVE_SIZE_ULC_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "ACTIVE_SIZE_ULC_Y,ACTIVE_SIZE_ULC_Y" newline hexmask.long.word 0x00 0.--15. 1. "ACTIVE_SIZE_ULC_X,ACTIVE_SIZE_ULC_X" group.long 0x4A0++0x03 line.long 0x00 "INPUT_FETCH_ACTIVE_SIZE_LRC_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "ACTIVE_SIZE_LRC_Y,ACTIVE_SIZE_LRC_Y" newline hexmask.long.word 0x00 0.--15. 1. "ACTIVE_SIZE_LRC_X,ACTIVE_SIZE_LRC_X" group.long 0x4B0++0x03 line.long 0x00 "INPUT_FETCH_ACTIVE_SIZE_ULC_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "ACTIVE_SIZE_ULC_Y,ACTIVE_SIZE_ULC_Y" newline hexmask.long.word 0x00 0.--15. 1. "ACTIVE_SIZE_ULC_X,ACTIVE_SIZE_ULC_X" group.long 0x4C0++0x03 line.long 0x00 "INPUT_FETCH_ACTIVE_SIZE_LRC_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "ACTIVE_SIZE_LRC_Y,ACTIVE_SIZE_LRC_Y" newline hexmask.long.word 0x00 0.--15. 1. "ACTIVE_SIZE_LRC_X,ACTIVE_SIZE_LRC_X" repeat 2. (strings "0" "1" )(list 0x00 0x10 ) group.long ($2+0x4D0)++0x03 line.long 0x00 "INPUT_FETCH_SIZE_CH$1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "INPUT_TOTAL_HEIGHT,INPUT_TOTAL_HEIGHT" newline hexmask.long.word 0x00 0.--15. 1. "INPUT_TOTAL_WIDTH,INPUT_TOTAL_WIDTH" repeat.end repeat 2. (strings "0" "1" )(list 0x00 0x10 ) group.long ($2+0x4F0)++0x03 line.long 0x00 "INPUT_FETCH_BACKGROUND_COLOR_CH$1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long 0x00 0.--31. 1. "BACKGROUND_COLOR,BACKGROUND_COLOR" repeat.end group.long 0x510++0x03 line.long 0x00 "INPUT_FETCH_PITCH,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "CH1_INPUT_PITCH,CH1_INPUT_PITCH" newline hexmask.long.word 0x00 0.--15. 1. "CH0_INPUT_PITCH,CH0_INPUT_PITCH" group.long 0x520++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0: channel0 data will do shift function,1: channel0 will bypass shift function" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0: channel0 format expanding disable,1: channel0 format expanding enable" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0: RGB 565,1: RGB 555,2: ARGB 1555,3: RGB 444,4: ARGB 4444,5: YUYV/YVYU,6: UYVY/VYUY,7: YUV422_2P" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0: 8 bits,1: 16 bits,2: 32 bits,3: 64 bits" group.long 0x524++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH0_SET,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x528++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH0_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline eventfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline eventfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x52C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH0_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x530++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0: channel1 data will do shift function,1: channel1 will bypass shift function" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0: channel1 format expanding disable,1: channel1 format expanding enable" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0: RGB 565,1: RGB 555,2: ARGB 1555,3: RGB 444,4: ARGB 4444,5: YUYV/YVYU,6: UYVY/VYUY,7: YUV422_2P" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0: 8 bits,1: 16 bits,2: 32 bits,3: 32 bits" group.long 0x534++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH1_SET,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x538++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH1_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline eventfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline eventfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x53C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_CTRL_CH1_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.tbyte 0x00 13.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline bitfld.long 0x00 11. "EXPAND_EN,EXPAND_EN" "0,1" newline bitfld.long 0x00 8.--10. "EXPAND_FORMAT,EXPAND_FORMAT" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 2.--7. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "INPUT_ACTIVE_BPP,INPUT_ACTIVE_BPP" "0,1,2,3" group.long 0x540++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x544++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH0_SET,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x548++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH0_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" eventfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x54C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH0_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x550++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x554++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH1_SET,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x558++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH1_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" eventfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x55C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_OFFSET_CH1_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" rbitfld.long 0x00 29.--31. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24.--28. "OFFSET3,OFFSET3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "OFFSET2,OFFSET2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--12. "OFFSET1,OFFSET1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RSVD3,RSVD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "OFFSET0,OFFSET0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x560++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x564++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH0_SET,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x568++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH0_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x56C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH0_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x570++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x574++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH1_SET,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x578++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH1_CLR,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x57C++0x03 line.long 0x00 "INPUT_FETCH_SHIFT_WIDTH_CH1_TOG,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 12.--15. "WIDTH3,WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "WIDTH2,WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "WIDTH1,WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WIDTH0,WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x580++0x03 line.long 0x00 "INPUT_FETCH_ADDR_0_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long 0x00 0.--31. 1. "INPUT_BASE_ADDR0,INPUT_BASE_ADDR0" group.long 0x590++0x03 line.long 0x00 "INPUT_FETCH_ADDR_1_CH0,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long 0x00 0.--31. 1. "INPUT_BASE_ADDR1,INPUT_BASE_ADDR1" group.long 0x5A0++0x03 line.long 0x00 "INPUT_FETCH_ADDR_0_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long 0x00 0.--31. 1. "INPUT_BASE_ADDR0,INPUT_BASE_ADDR0" group.long 0x5B0++0x03 line.long 0x00 "INPUT_FETCH_ADDR_1_CH1,This register defines the control bits for the pxp prefetch_engine sub-block" hexmask.long 0x00 0.--31. 1. "INPUT_BASE_ADDR1,INPUT_BASE_ADDR1" group.long 0x5C0++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH0,Store engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0: Arbitration disable,1: Arbitration enable" newline rbitfld.long 0x00 25.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24. "COMBINE_2CHANNEL,COMBINE_2CHANNEL" "0: combine 2 channel disable,1: combine 2 channel enable" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0: NUM_8_BYTES,1: NUM_16_BYTES,2: NUM_32_BYTES,3: NUM_64_BYTES" newline rbitfld.long 0x00 12.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "FILL_DATA_EN,FILL_DATA_EN" "0: Fill data mode disable,1: Fill data mode enable" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0: select 64 shift out data to pack,1: select low 32 bit shift out data to pack" newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0: store memory mode disable,1: store memory mode enable" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0: store bypass mode disable,1: store bypass mode enable" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0: Using 1x1 Array,1: Using 3x3 Array,2: Using 5x5 Array,3: Using 5x5 Array" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0: Array Handshake Disabled,1: Array Handshake Enabled" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0: Handshake with the prefetch engine is disabled,1: Handshake with the prefetch engine is enabled" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0: BLK_SIZE_8x8,1: BLK_SIZE_16x16" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0: Store in scan mode,1: Store in block mode" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0: Store function is disable,1: Store function is enable" group.long 0x5C4++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH0_SET,Store engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline rbitfld.long 0x00 25.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24. "COMBINE_2CHANNEL,COMBINE_2CHANNEL" "0,1" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 12.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "FILL_DATA_EN,FILL_DATA_EN" "0,1" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x5C8++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH0_CLR,Store engine Control Channel 0 Register" eventfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline eventfld.long 0x00 25.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 24. "COMBINE_2CHANNEL,COMBINE_2CHANNEL" "0,1" newline eventfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline eventfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline eventfld.long 0x00 12.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline eventfld.long 0x00 11. "FILL_DATA_EN,FILL_DATA_EN" "0,1" newline eventfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline eventfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline eventfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline eventfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline eventfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline eventfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline eventfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline eventfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline eventfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline eventfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x5CC++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH0_TOG,Store engine Control Channel 0 Register" bitfld.long 0x00 31. "ARBIT_EN,ARBIT_EN" "0,1" newline rbitfld.long 0x00 25.--30. "RSVD0,RSVD0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24. "COMBINE_2CHANNEL,COMBINE_2CHANNEL" "0,1" newline rbitfld.long 0x00 18.--23. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 12.--15. "RSVD2,RSVD2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "FILL_DATA_EN,FILL_DATA_EN" "0,1" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x5D0++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH1,Store engine Control Channel 1 Register" hexmask.long.word 0x00 18.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0: NUM_8_BYTES,1: NUM_16_BYTES,2: NUM_32_BYTES,3: NUM_64_BYTES" newline rbitfld.long 0x00 11.--15. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0: select 64 shift out data to pack,1: select channel 0 high 32 bit shift out data.." newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0: store memory mode disable,1: store memory mode enable" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0: store bypass mode disable,1: store bypass mode enable" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0: Using 1x1 Array,1: Using 3x3 Array,2: Using 5x5 Array,3: Using 5x5 Array" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0: Array Handshake Disabled,1: Array Handshake Enabled" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0: Handshake with the fetch engine is disabled,1: Handshake with the fetch engine is enabled" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0: BLK_SIZE_8x8,1: BLK_SIZE_16x16" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0: Store in scan mode,1: Store in block mode" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0: Store function is disable,1: Store function is enable" group.long 0x5D4++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH1_SET,Store engine Control Channel 1 Register" hexmask.long.word 0x00 18.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 11.--15. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x5D8++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH1_CLR,Store engine Control Channel 1 Register" hexmask.long.word 0x00 18.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline eventfld.long 0x00 11.--15. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline eventfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline eventfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline eventfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline eventfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline eventfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline eventfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline eventfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline eventfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline eventfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline eventfld.long 0x00 0. "CH_EN,CH_EN" "0,1" group.long 0x5DC++0x03 line.long 0x00 "INPUT_STORE_CTRL_CH1_TOG,Store engine Control Channel 1 Register" hexmask.long.word 0x00 18.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 16.--17. "WR_NUM_BYTES,WR_NUM_BYTES" "0,1,2,3" newline rbitfld.long 0x00 11.--15. "RSVD1,RSVD1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "PACK_IN_SEL,PACK_IN_SEL" "0,1" newline bitfld.long 0x00 9. "STORE_MEMORY_EN,STORE_MEMORY_EN" "0,1" newline bitfld.long 0x00 8. "STORE_BYPASS_EN,STORE_BYPASS_EN" "0,1" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 5.--6. "ARRAY_LINE_NUM,ARRAY_LINE_NUM" "0,1,2,3" newline bitfld.long 0x00 4. "ARRAY_EN,ARRAY_EN" "0,1" newline bitfld.long 0x00 3. "HANDSHAKE_EN,HANDSHAKE_EN" "0,1" newline bitfld.long 0x00 2. "BLOCK_16,BLOCK_16" "0,1" newline bitfld.long 0x00 1. "BLOCK_EN,BLOCK_EN" "0,1" newline bitfld.long 0x00 0. "CH_EN,CH_EN" "0,1" rgroup.long 0x5E0++0x03 line.long 0x00 "INPUT_STORE_STATUS_CH0,Store engine status Channel 0 Register" hexmask.long.word 0x00 16.--31. 1. "STORE_BLOCK_Y,STORE_BLOCK_Y" newline hexmask.long.word 0x00 0.--15. 1. "STORE_BLOCK_X,STORE_BLOCK_X" rgroup.long 0x5F0++0x03 line.long 0x00 "INPUT_STORE_STATUS_CH1,Store engine status Channel 1 Register" hexmask.long.word 0x00 16.--31. 1. "STORE_BLOCK_Y,STORE_BLOCK_Y" newline hexmask.long.word 0x00 0.--15. 1. "STORE_BLOCK_X,STORE_BLOCK_X" repeat 2. (strings "0" "1" )(list 0x00 0x10 ) group.long ($2+0x600)++0x03 line.long 0x00 "INPUT_STORE_SIZE_CH$1,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "OUT_HEIGHT,OUT_HEIGHT" newline hexmask.long.word 0x00 0.--15. 1. "OUT_WIDTH,OUT_WIDTH" repeat.end group.long 0x620++0x03 line.long 0x00 "INPUT_STORE_PITCH,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.word 0x00 16.--31. 1. "CH1_OUT_PITCH,CH1_OUT_PITCH" newline hexmask.long.word 0x00 0.--15. 1. "CH0_OUT_PITCH,CH0_OUT_PITCH" group.long 0x630++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 7. "SHIFT_BYPASS,SHIFT_BYPASS" "0: data will do shift processing,1: data will bypass shift module" newline rbitfld.long 0x00 6. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0: YUYV422 2 plane disabled,1: YUYV422 2 plane enabled" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0: YUYV422 2 plane disabled,1: YUYV422 2 plane enabled" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0: 8 bits,1: 16 bits,2: 32 bits,3: 64 bits" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x634++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH0_SET,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 7. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline rbitfld.long 0x00 6. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x638++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH0_CLR,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 7. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline eventfld.long 0x00 6. "RSVD1,RSVD1" "0,1" newline eventfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline eventfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline eventfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline eventfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x63C++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH0_TOG,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.tbyte 0x00 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 7. "SHIFT_BYPASS,SHIFT_BYPASS" "0,1" newline rbitfld.long 0x00 6. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x640++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH1,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 6.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0: YUYV422 2 plane disabled,1: YUYV422 2 plane enabled" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0: YUYV422 2 plane disabled,1: YUYV422 2 plane enabled" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0: 8 bits,1: 16 bits,2: 32 bits,3: 32 bits" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x644++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH1_SET,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 6.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x648++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH1_CLR,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 6.--31. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline eventfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline eventfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline eventfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x64C++0x03 line.long 0x00 "INPUT_STORE_SHIFT_CTRL_CH1_TOG,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 6.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x00 5. "OUT_YUV422_2P_EN,OUT_YUV422_2P_EN" "0,1" newline bitfld.long 0x00 4. "OUT_YUV422_1P_EN,OUT_YUV422_1P_EN" "0,1" newline bitfld.long 0x00 2.--3. "OUTPUT_ACTIVE_BPP,OUTPUT_ACTIVE_BPP" "0,1,2,3" newline rbitfld.long 0x00 0.--1. "RSVD2,RSVD2" "0,1,2,3" group.long 0x690++0x03 line.long 0x00 "INPUT_STORE_ADDR_0_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "OUT_BASE_ADDR0,OUT_BASE_ADDR0" group.long 0x6A0++0x03 line.long 0x00 "INPUT_STORE_ADDR_1_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "OUT_BASE_ADDR1,OUT_BASE_ADDR1" group.long 0x6B0++0x03 line.long 0x00 "INPUT_STORE_FILL_DATA_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "FILL_DATA_CH0,FILL_DATA_CH0" group.long 0x6C0++0x03 line.long 0x00 "INPUT_STORE_ADDR_0_CH1,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "OUT_BASE_ADDR0,OUT_BASE_ADDR0" group.long 0x6D0++0x03 line.long 0x00 "INPUT_STORE_ADDR_1_CH1,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "OUT_BASE_ADDR1,OUT_BASE_ADDR1" group.long 0x6E0++0x03 line.long 0x00 "INPUT_STORE_D_MASK0_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK0_H_CH0,D_MASK0_H_CH0" group.long 0x6F0++0x03 line.long 0x00 "INPUT_STORE_D_MASK0_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK0_L_CH0,D_MASK0_L_CH0" group.long 0x700++0x03 line.long 0x00 "INPUT_STORE_D_MASK1_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK1_H_CH0,D_MASK1_H_CH0" group.long 0x710++0x03 line.long 0x00 "INPUT_STORE_D_MASK1_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK1_L_CH0,D_MASK1_L_CH0" group.long 0x720++0x03 line.long 0x00 "INPUT_STORE_D_MASK2_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK2_H_CH0,D_MASK2_H_CH0" group.long 0x730++0x03 line.long 0x00 "INPUT_STORE_D_MASK2_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK2_L_CH0,D_MASK2_L_CH0" group.long 0x740++0x03 line.long 0x00 "INPUT_STORE_D_MASK3_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK3_H_CH0,D_MASK3_H_CH0" group.long 0x750++0x03 line.long 0x00 "INPUT_STORE_D_MASK3_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK3_L_CH0,D_MASK3_L_CH0" group.long 0x760++0x03 line.long 0x00 "INPUT_STORE_D_MASK4_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK4_H_CH0,D_MASK4_H_CH0" group.long 0x770++0x03 line.long 0x00 "INPUT_STORE_D_MASK4_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK4_L_CH0,D_MASK4_L_CH0" group.long 0x780++0x03 line.long 0x00 "INPUT_STORE_D_MASK5_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK5_H_CH0,D_MASK5_H_CH0" group.long 0x790++0x03 line.long 0x00 "INPUT_STORE_D_MASK5_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK5_L_CH0,D_MASK5_L_CH0" group.long 0x7A0++0x03 line.long 0x00 "INPUT_STORE_D_MASK6_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK6_H_CH0,D_MASK6_H_CH0" group.long 0x7B0++0x03 line.long 0x00 "INPUT_STORE_D_MASK6_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK6_L_CH0,D_MASK6_L_CH0" group.long 0x7C0++0x03 line.long 0x00 "INPUT_STORE_D_MASK7_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK7_H_CH0,D_MASK7_H_CH0" group.long 0x7E0++0x03 line.long 0x00 "INPUT_STORE_D_MASK7_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long 0x00 0.--31. 1. "D_MASK7_L_CH0,D_MASK7_L_CH0" group.long 0x7F0++0x03 line.long 0x00 "INPUT_STORE_D_SHIFT_L_CH0,This register defines the control bits for the pxp store_engine sub-block" bitfld.long 0x00 31. "D_SHIFT_FLAG3,D_SHIFT_FLAG3" "0,1" newline rbitfld.long 0x00 30. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 24.--29. "D_SHIFT_WIDTH3,D_SHIFT_WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 23. "D_SHIFT_FLAG2,D_SHIFT_FLAG2" "0,1" newline rbitfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 16.--21. "D_SHIFT_WIDTH2,D_SHIFT_WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "D_SHIFT_FLAG1,D_SHIFT_FLAG1" "0,1" newline rbitfld.long 0x00 14. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 8.--13. "D_SHIFT_WIDTH1,D_SHIFT_WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 7. "D_SHIFT_FLAG0,D_SHIFT_FLAG0" "0,1" newline rbitfld.long 0x00 6. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 0.--5. "D_SHIFT_WIDTH0,D_SHIFT_WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x800++0x03 line.long 0x00 "INPUT_STORE_D_SHIFT_H_CH0,This register defines the control bits for the pxp store_engine sub-block" bitfld.long 0x00 31. "D_SHIFT_FLAG7,D_SHIFT_FLAG7" "0,1" newline rbitfld.long 0x00 30. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 24.--29. "D_SHIFT_WIDTH7,D_SHIFT_WIDTH7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 23. "D_SHIFT_FLAG6,D_SHIFT_FLAG6" "0,1" newline rbitfld.long 0x00 22. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 16.--21. "D_SHIFT_WIDTH6,D_SHIFT_WIDTH6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "D_SHIFT_FLAG5,D_SHIFT_FLAG5" "0,1" newline rbitfld.long 0x00 14. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 8.--13. "D_SHIFT_WIDTH5,D_SHIFT_WIDTH5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 7. "D_SHIFT_FLAG4,D_SHIFT_FLAG4" "0,1" newline rbitfld.long 0x00 6. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 0.--5. "D_SHIFT_WIDTH4,D_SHIFT_WIDTH4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x810++0x03 line.long 0x00 "INPUT_STORE_F_SHIFT_L_CH0,This register defines the control bits for the pxp store_engine sub-block" rbitfld.long 0x00 31. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 30. "F_SHIFT_FLAG3,F_SHIFT_FLAG3" "0,1" newline bitfld.long 0x00 24.--29. "F_SHIFT_WIDTH3,F_SHIFT_WIDTH3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 23. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 22. "F_SHIFT_FLAG2,F_SHIFT_FLAG2" "0,1" newline bitfld.long 0x00 16.--21. "F_SHIFT_WIDTH2,F_SHIFT_WIDTH2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 15. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 14. "F_SHIFT_FLAG1,F_SHIFT_FLAG1" "0,1" newline bitfld.long 0x00 8.--13. "F_SHIFT_WIDTH1,F_SHIFT_WIDTH1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 6. "F_SHIFT_FLAG0,F_SHIFT_FLAG0" "0,1" newline bitfld.long 0x00 0.--5. "F_SHIFT_WIDTH0,F_SHIFT_WIDTH0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x820++0x03 line.long 0x00 "INPUT_STORE_F_SHIFT_H_CH0,This register defines the control bits for the pxp store_engine sub-block" rbitfld.long 0x00 31. "RSVD0,RSVD0" "0,1" newline bitfld.long 0x00 30. "F_SHIFT_FLAG7,F_SHIFT_FLAG7" "0,1" newline bitfld.long 0x00 24.--29. "F_SHIFT_WIDTH7,F_SHIFT_WIDTH7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 23. "RSVD1,RSVD1" "0,1" newline bitfld.long 0x00 22. "F_SHIFT_FLAG6,F_SHIFT_FLAG6" "0,1" newline bitfld.long 0x00 16.--21. "F_SHIFT_WIDTH6,F_SHIFT_WIDTH6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 15. "RSVD2,RSVD2" "0,1" newline bitfld.long 0x00 14. "F_SHIFT_FLAG5,F_SHIFT_FLAG5" "0,1" newline bitfld.long 0x00 8.--13. "F_SHIFT_WIDTH5,F_SHIFT_WIDTH5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RSVD3,RSVD3" "0,1" newline bitfld.long 0x00 6. "F_SHIFT_FLAG4,F_SHIFT_FLAG4" "0,1" newline bitfld.long 0x00 0.--5. "F_SHIFT_WIDTH4,F_SHIFT_WIDTH4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x830++0x03 line.long 0x00 "INPUT_STORE_F_MASK_L_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.byte 0x00 24.--31. 1. "F_MASK3,F_MASK3" newline hexmask.long.byte 0x00 16.--23. 1. "F_MASK2,F_MASK2" newline hexmask.long.byte 0x00 8.--15. 1. "F_MASK1,F_MASK1" newline hexmask.long.byte 0x00 0.--7. 1. "F_MASK0,F_MASK0" group.long 0x840++0x03 line.long 0x00 "INPUT_STORE_F_MASK_H_CH0,This register defines the control bits for the pxp store_engine sub-block" hexmask.long.byte 0x00 24.--31. 1. "F_MASK7,F_MASK7" newline hexmask.long.byte 0x00 16.--23. 1. "F_MASK6,F_MASK6" newline hexmask.long.byte 0x00 8.--15. 1. "F_MASK5,F_MASK5" newline hexmask.long.byte 0x00 0.--7. 1. "F_MASK4,F_MASK4" group.long 0x2CE0++0x03 line.long 0x00 "BUS_MUX,This register defines the pxp subblock bus mux on top level" hexmask.long.byte 0x00 24.--31. 1. "RSVD1,RSVD1" newline hexmask.long.byte 0x00 16.--23. 1. "WR_SEL,WR_SEL" newline hexmask.long.byte 0x00 8.--15. 1. "RSVD0,RSVD0" newline hexmask.long.byte 0x00 0.--7. 1. "RD_SEL,RD_SEL" group.long 0x2CF0++0x03 line.long 0x00 "HANDSHAKE_READY_MUX0,This register defines the pxp subblock handshake signals ready mux on top level" bitfld.long 0x00 28.--31. "HSK7,HSK7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "HSK6,HSK6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "HSK5,HSK5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "HSK4,HSK4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "HSK3,HSK3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "HSK2,HSK2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "HSK1,HSK1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "HSK0,HSK0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x2D00++0x03 line.long 0x00 "HANDSHAKE_READY_MUX1,This register defines the pxp subblock handshake signals ready mux on top level" bitfld.long 0x00 28.--31. "HSK15,HSK15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "HSK14,HSK14" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "HSK13,HSK13" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "HSK12,HSK12" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "HSK11,HSK11" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "HSK10,HSK10" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "HSK9,HSK9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "HSK8,HSK8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x2D10++0x03 line.long 0x00 "HANDSHAKE_DONE_MUX0,This register defines the pxp subblock handshake signals done mux on top level" bitfld.long 0x00 28.--31. "HSK7,HSK7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "HSK6,HSK6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "HSK5,HSK5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "HSK4,HSK4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "HSK3,HSK3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "HSK2,HSK2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "HSK1,HSK1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "HSK0,HSK0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x2D20++0x03 line.long 0x00 "HANDSHAKE_DONE_MUX1,This register defines the pxp subblock handshake signals done mux on top level" bitfld.long 0x00 28.--31. "HSK15,HSK15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "HSK14,HSK14" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "HSK13,HSK13" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "HSK12,HSK12" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "HSK11,HSK11" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "HSK10,HSK10" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "HSK9,HSK9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "HSK8,HSK8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x2D30++0x03 line.long 0x00 "HANDSHAKE_CPU_FETCH,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0: LINE_4,1: LINE_8,2: LINE_16,?..." newline bitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline bitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline rbitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline rbitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0: LINE_4,1: LINE_8,2: LINE_16,?..." newline bitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline bitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline rbitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline rbitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D34++0x03 line.long 0x00 "HANDSHAKE_CPU_FETCH_SET,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline bitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline bitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline rbitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline rbitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline bitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline bitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline rbitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline rbitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D38++0x03 line.long 0x00 "HANDSHAKE_CPU_FETCH_CLR,This register defines the pxp software handshake signals with CPU" eventfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline eventfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline eventfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline eventfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline eventfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline eventfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline eventfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline eventfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline eventfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline eventfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D3C++0x03 line.long 0x00 "HANDSHAKE_CPU_FETCH_TOG,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline bitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline bitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline rbitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline rbitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline bitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline bitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline rbitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline rbitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D40++0x03 line.long 0x00 "HANDSHAKE_CPU_STORE,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0: LINE_4,1: LINE_8,2: LINE_16,?..." newline rbitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline rbitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline bitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline bitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0: LINE_4,1: LINE_8,2: LINE_16,?..." newline rbitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline rbitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline bitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline bitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D44++0x03 line.long 0x00 "HANDSHAKE_CPU_STORE_SET,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline rbitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline rbitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline bitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline bitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline rbitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline rbitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline bitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline bitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D48++0x03 line.long 0x00 "HANDSHAKE_CPU_STORE_CLR,This register defines the pxp software handshake signals with CPU" eventfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline eventfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline eventfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline eventfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline eventfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline eventfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline eventfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline eventfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline eventfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline eventfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline eventfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline eventfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" group.long 0x2D4C++0x03 line.long 0x00 "HANDSHAKE_CPU_STORE_TOG,This register defines the pxp software handshake signals with CPU" bitfld.long 0x00 31. "SW1_HSK_EN,SW1_HSK_EN" "0,1" newline hexmask.long.word 0x00 22.--30. 1. "RSVD1,RSVD1" newline rbitfld.long 0x00 20.--21. "SW1_BUF_LINES,SW1_BUF_LINES" "0,1,2,3" newline rbitfld.long 0x00 19. "SW1_B1_DONE,SW1_B1_DONE" "0,1" newline rbitfld.long 0x00 18. "SW1_B0_DONE,SW1_B0_DONE" "0,1" newline bitfld.long 0x00 17. "SW1_B1_READY,SW1_B1_READY" "0,1" newline bitfld.long 0x00 16. "SW1_B0_READY,SW1_B0_READY" "0,1" newline bitfld.long 0x00 15. "SW0_HSK_EN,SW0_HSK_EN" "0,1" newline hexmask.long.word 0x00 6.--14. 1. "RSVD0,RSVD0" newline rbitfld.long 0x00 4.--5. "SW0_BUF_LINES,SW0_BUF_LINES" "0,1,2,3" newline rbitfld.long 0x00 3. "SW0_B1_DONE,SW0_B1_DONE" "0,1" newline rbitfld.long 0x00 2. "SW0_B0_DONE,SW0_B0_DONE" "0,1" newline bitfld.long 0x00 1. "SW0_B1_READY,SW0_B1_READY" "0,1" newline bitfld.long 0x00 0. "SW0_B0_READY,SW0_B0_READY" "0,1" tree.end tree "ROMCP (ROMC)" repeat 2. (list 1. 2.) (list ad:0x44430000 ad:0x42640000) tree "ROMCP$1" base $2 repeat 16. (strings "15" "14" "13" "12" "11" "10" "9" "8" "7" "6" "5" "4" "3" "2" "1" "0" )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xB4)++0x03 line.long 0x00 "ROMPATCHD$1,ROMC Data Registers" hexmask.long 0x00 0.--31. 1. "DATAX,Data Fix Registers" repeat.end group.long 0xF4++0x03 line.long 0x00 "ROMPATCHCNTL,ROMC Control Register" bitfld.long 0x00 31. "LK,Register Lock" "0: All registers remain accessible (unlocked),1: Lock access to all registers" bitfld.long 0x00 29. "DIS,ROMC Disable" "0: Does not affect any ROMC functions (default),1: Disables all ROMC functions" newline bitfld.long 0x00 15. "DATAFIX15,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 14. "DATAFIX14,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 13. "DATAFIX13,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 12. "DATAFIX12,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 11. "DATAFIX11,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 10. "DATAFIX10,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 9. "DATAFIX9,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 8. "DATAFIX8,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 7. "DATAFIX7,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 6. "DATAFIX6,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 5. "DATAFIX5,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 4. "DATAFIX4,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 3. "DATAFIX3,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 2. "DATAFIX2,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" newline bitfld.long 0x00 1. "DATAFIX1,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" bitfld.long 0x00 0. "DATAFIX0,Data Fix Enable" "0: Trigger an opcode patch,1: Trigger a data fix" rgroup.long 0xF8++0x03 line.long 0x00 "ROMPATCHENH,ROMC Enable Register High" group.long 0xFC++0x03 line.long 0x00 "ROMPATCHENL,ROMC Enable Register Low" hexmask.long 0x00 0.--31. 1. "ENABLE,Enable Address Comparator" repeat 32. (increment 0 1) (increment 0 0x04) group.long ($2+0x100)++0x03 line.long 0x00 "ROMPATCHA[$1],ROMC Address Registers $1" hexmask.long.tbyte 0x00 1.--22. 1. "ADDRX,Address Comparator Registers" bitfld.long 0x00 0. "THUMBX,THUMB Comparator Select" "0: ARM patch,1: THUMB patch (ignore if a data fix)" repeat.end group.long 0x208++0x03 line.long 0x00 "ROMPATCHSR,ROMC Status Register" eventfld.long 0x00 17. "SW,ROMC AHB Multiple Address Comparator Match Indicator" "0: No event or comparator collisions have occurred,1: A collision has occurred" rbitfld.long 0x00 0.--5. "SOURCE,ROMC Source Number" "0: Address Comparator 0 matched,1: Address Comparator 1 matched,?,?,?,?,?,?,?,?,?,?,?,?,?,15: Address Comparator 15 matched,?..." tree.end repeat.end tree.end tree "SAI" tree "SAI1" base ad:0x443B0000 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" bitfld.long 0x00 16.--19. "FRAME,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "FIFO,FIFO Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "DATALINE,Number of Datalines" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "TCSR,Transmit Control" bitfld.long 0x00 31. "TE,Transmitter Enable" "0: Transmitter is disabled,1: Transmitter is enabled or transmitter has.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Transmitter disabled in Stop mode,1: Transmitter enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Transmitter is disabled in Debug mode after..,1: Transmitter is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Transmit bit clock is disabled,1: Transmit bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Transmit underrun not detected,1: Transmit underrun detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled transmit FIFO is empty,1: Enabled transmit FIFO is empty" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Transmit FIFO watermark has not been reached,1: Transmit FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x0C++0x03 line.long 0x00 "TCR1,Transmit Configuration 1" bitfld.long 0x00 0.--4. "TFW,Transmit FIFO Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x10++0x03 line.long 0x00 "TCR2,Transmit Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with receiver" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit clock is active high with drive outputs..,1: Bit clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x14++0x03 line.long 0x00 "TCR3,Transmit Configuration 3" bitfld.long 0x00 24.--25. "CFR,Channel FIFO Reset" "0,1,2,3" bitfld.long 0x00 16.--17. "TCE,Transmit Channel Enable" "0,1,2,3" newline bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x18++0x03 line.long 0x00 "TCR4,Transmit Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 26.--27. "FCOMB,FIFO Combine Mode" "0: FIFO combine mode disabled,1: FIFO combine mode enabled on FIFO reads (from..,2: FIFO combine mode enabled on FIFO writes (by..,3: FIFO combine mode enabled on FIFO reads (from.." newline bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" bitfld.long 0x00 16.--20. "FRSZ,Frame size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5. "CHMOD,Channel Mode" "0: TDM mode transmit data pins are tri-stated..,1: Output mode transmit data pins are never.." newline bitfld.long 0x00 4. "MF,MSB First" "0: LSB is transmitted first,1: MSB is transmitted first" bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." newline bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" newline bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame sync is generated externally in Slave..,1: Frame sync is generated internally in Master.." group.long 0x1C++0x03 line.long 0x00 "TCR5,Transmit Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 2. (increment 0 1) (increment 0 0x4) group.long ($2+0x20)++0x03 line.long 0x00 "TDR[$1],Transmit Data $1" hexmask.long 0x00 0.--31. 1. "TDR,Transmit Data Register" repeat.end repeat 2. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0x40)++0x03 line.long 0x00 "TFR[$1],Transmit FIFO $1" bitfld.long 0x00 31. "WCP,Write Channel Pointer" "0: No effect,1: FIFO combine is enabled for FIFO writes and.." bitfld.long 0x00 16.--21. "WFP,Write FIFO Pointer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. "RFP,Read FIFO Pointer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end group.long 0x60++0x03 line.long 0x00 "TMR,Transmit Mask" hexmask.long 0x00 0.--31. 1. "TWM,Transmit Word Mask" group.long 0x70++0x03 line.long 0x00 "TTCR,Transmit Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the receive..,2: Timestamp counter increments when the..,3: Timestamp counter increments when the receive.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0x74++0x03 line.long 0x00 "TTSR,Transmit Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0x78++0x03 line.long 0x00 "TBCR,Transmit Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0x7C++0x03 line.long 0x00 "TBCTR,Transmit Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x88++0x03 line.long 0x00 "RCSR,Receive Control" bitfld.long 0x00 31. "RE,Receiver Enable" "0: Receiver is disabled,1: Receiver is enabled or receiver has been.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Receiver disabled in Stop mode,1: Receiver enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Receiver is disabled in Debug mode after..,1: Receiver is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Receive bit clock is disabled,1: Receive bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Receive overflow not detected,1: Receive overflow detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled receive FIFO is full,1: Enabled receive FIFO is full" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Receive FIFO watermark not reached,1: Receive FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x8C++0x03 line.long 0x00 "RCR1,Receive Configuration 1" bitfld.long 0x00 0.--4. "RFW,Receive FIFO Watermark" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x90++0x03 line.long 0x00 "RCR2,Receive Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with transmitter" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit Clock is active high with drive outputs..,1: Bit Clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x94++0x03 line.long 0x00 "RCR3,Receive Configuration 3" bitfld.long 0x00 24.--25. "CFR,Channel FIFO Reset" "0,1,2,3" bitfld.long 0x00 16.--17. "RCE,Receive Channel Enable" "0,1,2,3" newline bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x98++0x03 line.long 0x00 "RCR4,Receive Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 26.--27. "FCOMB,FIFO Combine Mode" "0: FIFO combine mode disabled,1: FIFO combine mode enabled on FIFO writes..,2: FIFO combine mode enabled on FIFO reads (by..,3: FIFO combine mode enabled on FIFO writes.." newline bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" bitfld.long 0x00 16.--20. "FRSZ,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. "MF,MSB First" "0: LSB is received first,1: MSB is received first" newline bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." newline bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame Sync is generated externally in Slave..,1: Frame Sync is generated internally in Master.." group.long 0x9C++0x03 line.long 0x00 "RCR5,Receive Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 2. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0xA0)++0x03 line.long 0x00 "RDR[$1],Receive Data $1" hexmask.long 0x00 0.--31. 1. "RDR,Receive Data Register" repeat.end repeat 2. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "RFR[$1],Receive FIFO $1" bitfld.long 0x00 16.--21. "WFP,Write FIFO Pointer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 15. "RCP,Receive Channel Pointer" "0: No effect,1: FIFO combine is enabled for FIFO reads and.." newline bitfld.long 0x00 0.--5. "RFP,Read FIFO Pointer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end group.long 0xE0++0x03 line.long 0x00 "RMR,Receive Mask" hexmask.long 0x00 0.--31. 1. "RWM,Receive Word Mask" group.long 0xF0++0x03 line.long 0x00 "RTCR,Receive Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the..,2: Timestamp counter increments when the receive..,3: Timestamp counter increments when the.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0xF4++0x03 line.long 0x00 "RTSR,Receive Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0xF8++0x03 line.long 0x00 "RBCR,Receive Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0xFC++0x03 line.long 0x00 "RBCTR,Receive Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x100++0x03 line.long 0x00 "MCR,MCLK Control" bitfld.long 0x00 30. "MOE,MCLK Output Enable" "0: MCLK signal pin is an input,1: MCLK signal pin is an output" bitfld.long 0x00 24.--25. "MSEL,MCLK Select" "0: Master Clock (MCLK) 1 option selected,?,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 23. "DIVEN,MCLK Post Divide Enable" "0: Output on MCLK signal pin is the audio master..,1: Output on MCLK signal pin is a post-divided.." hexmask.long.byte 0x00 0.--7. 1. "DIV,MCLK Post Divide" tree.end tree "SAI2" base ad:0x42650000 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" bitfld.long 0x00 16.--19. "FRAME,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "FIFO,FIFO Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "DATALINE,Number of Datalines" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "TCSR,Transmit Control" bitfld.long 0x00 31. "TE,Transmitter Enable" "0: Transmitter is disabled,1: Transmitter is enabled or transmitter has.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Transmitter disabled in Stop mode,1: Transmitter enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Transmitter is disabled in Debug mode after..,1: Transmitter is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Transmit bit clock is disabled,1: Transmit bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Transmit underrun not detected,1: Transmit underrun detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled transmit FIFO is empty,1: Enabled transmit FIFO is empty" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Transmit FIFO watermark has not been reached,1: Transmit FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x0C++0x03 line.long 0x00 "TCR1,Transmit Configuration 1" hexmask.long.byte 0x00 0.--6. 1. "TFW,Transmit FIFO Watermark" group.long 0x10++0x03 line.long 0x00 "TCR2,Transmit Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with receiver" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit clock is active high with drive outputs..,1: Bit clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x14++0x03 line.long 0x00 "TCR3,Transmit Configuration 3" bitfld.long 0x00 24.--27. "CFR,Channel FIFO Reset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "TCE,Transmit Channel Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x18++0x03 line.long 0x00 "TCR4,Transmit Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 26.--27. "FCOMB,FIFO Combine Mode" "0: FIFO combine mode disabled,1: FIFO combine mode enabled on FIFO reads (from..,2: FIFO combine mode enabled on FIFO writes (by..,3: FIFO combine mode enabled on FIFO reads (from.." newline bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" bitfld.long 0x00 16.--20. "FRSZ,Frame size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5. "CHMOD,Channel Mode" "0: TDM mode transmit data pins are tri-stated..,1: Output mode transmit data pins are never.." newline bitfld.long 0x00 4. "MF,MSB First" "0: LSB is transmitted first,1: MSB is transmitted first" bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." newline bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" newline bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame sync is generated externally in Slave..,1: Frame sync is generated internally in Master.." group.long 0x1C++0x03 line.long 0x00 "TCR5,Transmit Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 4. (increment 0 1) (increment 0 0x4) group.long ($2+0x20)++0x03 line.long 0x00 "TDR[$1],Transmit Data $1" hexmask.long 0x00 0.--31. 1. "TDR,Transmit Data Register" repeat.end repeat 4. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0x40)++0x03 line.long 0x00 "TFR[$1],Transmit FIFO $1" bitfld.long 0x00 31. "WCP,Write Channel Pointer" "0: No effect,1: FIFO combine is enabled for FIFO writes and.." hexmask.long.byte 0x00 16.--23. 1. "WFP,Write FIFO Pointer" newline hexmask.long.byte 0x00 0.--7. 1. "RFP,Read FIFO Pointer" repeat.end group.long 0x60++0x03 line.long 0x00 "TMR,Transmit Mask" hexmask.long 0x00 0.--31. 1. "TWM,Transmit Word Mask" group.long 0x70++0x03 line.long 0x00 "TTCR,Transmit Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the receive..,2: Timestamp counter increments when the..,3: Timestamp counter increments when the receive.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0x74++0x03 line.long 0x00 "TTSR,Transmit Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0x78++0x03 line.long 0x00 "TBCR,Transmit Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0x7C++0x03 line.long 0x00 "TBCTR,Transmit Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x88++0x03 line.long 0x00 "RCSR,Receive Control" bitfld.long 0x00 31. "RE,Receiver Enable" "0: Receiver is disabled,1: Receiver is enabled or receiver has been.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Receiver disabled in Stop mode,1: Receiver enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Receiver is disabled in Debug mode after..,1: Receiver is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Receive bit clock is disabled,1: Receive bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Receive overflow not detected,1: Receive overflow detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled receive FIFO is full,1: Enabled receive FIFO is full" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Receive FIFO watermark not reached,1: Receive FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x8C++0x03 line.long 0x00 "RCR1,Receive Configuration 1" hexmask.long.byte 0x00 0.--6. 1. "RFW,Receive FIFO Watermark" group.long 0x90++0x03 line.long 0x00 "RCR2,Receive Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with transmitter" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit Clock is active high with drive outputs..,1: Bit Clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x94++0x03 line.long 0x00 "RCR3,Receive Configuration 3" bitfld.long 0x00 24.--27. "CFR,Channel FIFO Reset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "RCE,Receive Channel Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x98++0x03 line.long 0x00 "RCR4,Receive Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 26.--27. "FCOMB,FIFO Combine Mode" "0: FIFO combine mode disabled,1: FIFO combine mode enabled on FIFO writes..,2: FIFO combine mode enabled on FIFO reads (by..,3: FIFO combine mode enabled on FIFO writes.." newline bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" bitfld.long 0x00 16.--20. "FRSZ,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. "MF,MSB First" "0: LSB is received first,1: MSB is received first" newline bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." newline bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame Sync is generated externally in Slave..,1: Frame Sync is generated internally in Master.." group.long 0x9C++0x03 line.long 0x00 "RCR5,Receive Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 4. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0xA0)++0x03 line.long 0x00 "RDR[$1],Receive Data $1" hexmask.long 0x00 0.--31. 1. "RDR,Receive Data Register" repeat.end repeat 4. (increment 0 1) (increment 0 0x4) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "RFR[$1],Receive FIFO $1" hexmask.long.byte 0x00 16.--23. 1. "WFP,Write FIFO Pointer" bitfld.long 0x00 15. "RCP,Receive Channel Pointer" "0: No effect,1: FIFO combine is enabled for FIFO reads and.." newline hexmask.long.byte 0x00 0.--7. 1. "RFP,Read FIFO Pointer" repeat.end group.long 0xE0++0x03 line.long 0x00 "RMR,Receive Mask" hexmask.long 0x00 0.--31. 1. "RWM,Receive Word Mask" group.long 0xF0++0x03 line.long 0x00 "RTCR,Receive Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the..,2: Timestamp counter increments when the receive..,3: Timestamp counter increments when the.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0xF4++0x03 line.long 0x00 "RTSR,Receive Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0xF8++0x03 line.long 0x00 "RBCR,Receive Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0xFC++0x03 line.long 0x00 "RBCTR,Receive Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x100++0x03 line.long 0x00 "MCR,MCLK Control" bitfld.long 0x00 30. "MOE,MCLK Output Enable" "0: MCLK signal pin is an input,1: MCLK signal pin is an output" bitfld.long 0x00 24.--25. "MSEL,MCLK Select" "0: Master Clock (MCLK) 1 option selected,?,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 23. "DIVEN,MCLK Post Divide Enable" "0: Output on MCLK signal pin is the audio master..,1: Output on MCLK signal pin is a post-divided.." hexmask.long.byte 0x00 0.--7. 1. "DIV,MCLK Post Divide" tree.end tree "SAI3" base ad:0x42660000 rgroup.long 0x00++0x03 line.long 0x00 "VERID,Version ID" hexmask.long.byte 0x00 24.--31. 1. "MAJOR,Major Version Number" hexmask.long.byte 0x00 16.--23. 1. "MINOR,Minor Version Number" newline hexmask.long.word 0x00 0.--15. 1. "FEATURE,Feature Specification Number" rgroup.long 0x04++0x03 line.long 0x00 "PARAM,Parameter" bitfld.long 0x00 16.--19. "FRAME,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "FIFO,FIFO Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "DATALINE,Number of Datalines" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "TCSR,Transmit Control" bitfld.long 0x00 31. "TE,Transmitter Enable" "0: Transmitter is disabled,1: Transmitter is enabled or transmitter has.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Transmitter disabled in Stop mode,1: Transmitter enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Transmitter is disabled in Debug mode after..,1: Transmitter is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Transmit bit clock is disabled,1: Transmit bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Transmit underrun not detected,1: Transmit underrun detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled transmit FIFO is empty,1: Enabled transmit FIFO is empty" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Transmit FIFO watermark has not been reached,1: Transmit FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x0C++0x03 line.long 0x00 "TCR1,Transmit Configuration 1" hexmask.long.byte 0x00 0.--6. 1. "TFW,Transmit FIFO Watermark" group.long 0x10++0x03 line.long 0x00 "TCR2,Transmit Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with receiver" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit clock is active high with drive outputs..,1: Bit clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x14++0x03 line.long 0x00 "TCR3,Transmit Configuration 3" bitfld.long 0x00 16. "TCE,Transmit Channel Enable" "0,1" bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x18++0x03 line.long 0x00 "TCR4,Transmit Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" newline bitfld.long 0x00 16.--20. "FRSZ,Frame size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5. "CHMOD,Channel Mode" "0: TDM mode transmit data pins are tri-stated..,1: Output mode transmit data pins are never.." bitfld.long 0x00 4. "MF,MSB First" "0: LSB is transmitted first,1: MSB is transmitted first" newline bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." newline bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame sync is generated externally in Slave..,1: Frame sync is generated internally in Master.." group.long 0x1C++0x03 line.long 0x00 "TCR5,Transmit Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "TDR0,Transmit Data" hexmask.long 0x00 0.--31. 1. "TDR,Transmit Data Register" rgroup.long 0x40++0x03 line.long 0x00 "TFR0,Transmit FIFO" hexmask.long.byte 0x00 16.--23. 1. "WFP,Write FIFO Pointer" hexmask.long.byte 0x00 0.--7. 1. "RFP,Read FIFO Pointer" group.long 0x60++0x03 line.long 0x00 "TMR,Transmit Mask" hexmask.long 0x00 0.--31. 1. "TWM,Transmit Word Mask" group.long 0x70++0x03 line.long 0x00 "TTCR,Transmit Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the receive..,2: Timestamp counter increments when the..,3: Timestamp counter increments when the receive.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0x74++0x03 line.long 0x00 "TTSR,Transmit Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0x78++0x03 line.long 0x00 "TBCR,Transmit Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0x7C++0x03 line.long 0x00 "TBCTR,Transmit Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x88++0x03 line.long 0x00 "RCSR,Receive Control" bitfld.long 0x00 31. "RE,Receiver Enable" "0: Receiver is disabled,1: Receiver is enabled or receiver has been.." bitfld.long 0x00 30. "STOPE,Stop Enable" "0: Receiver disabled in Stop mode,1: Receiver enabled in Stop mode" newline bitfld.long 0x00 29. "DBGE,Debug Enable" "0: Receiver is disabled in Debug mode after..,1: Receiver is enabled in Debug mode" bitfld.long 0x00 28. "BCE,Bit Clock Enable" "0: Receive bit clock is disabled,1: Receive bit clock is enabled" newline bitfld.long 0x00 25. "FR,FIFO Reset" "0: No effect,1: FIFO reset" bitfld.long 0x00 24. "SR,Software Reset" "0: No effect,1: Software reset" newline eventfld.long 0x00 20. "WSF,Word Start Flag" "0: Start of word not detected,1: Start of word detected" eventfld.long 0x00 19. "SEF,Sync Error Flag" "0: Sync error not detected,1: Frame sync error detected" newline eventfld.long 0x00 18. "FEF,FIFO Error Flag" "0: Receive overflow not detected,1: Receive overflow detected" rbitfld.long 0x00 17. "FWF,FIFO Warning Flag" "0: No enabled receive FIFO is full,1: Enabled receive FIFO is full" newline rbitfld.long 0x00 16. "FRF,FIFO Request Flag" "0: Receive FIFO watermark not reached,1: Receive FIFO watermark has been reached" bitfld.long 0x00 12. "WSIE,Word Start Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" newline bitfld.long 0x00 11. "SEIE,Sync Error Interrupt Enable" "0: Disables interrupt,1: Enables interrupt" bitfld.long 0x00 10. "FEIE,FIFO Error Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 9. "FWIE,FIFO Warning Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" bitfld.long 0x00 8. "FRIE,FIFO Request Interrupt Enable" "0: Disables the interrupt,1: Enables the interrupt" newline bitfld.long 0x00 1. "FWDE,FIFO Warning DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" bitfld.long 0x00 0. "FRDE,FIFO Request DMA Enable" "0: Disables the DMA request,1: Enables the DMA request" group.long 0x8C++0x03 line.long 0x00 "RCR1,Receive Configuration 1" hexmask.long.byte 0x00 0.--6. 1. "RFW,Receive FIFO Watermark" group.long 0x90++0x03 line.long 0x00 "RCR2,Receive Configuration 2" bitfld.long 0x00 30. "SYNC,Synchronous Mode" "0: Asynchronous mode,1: Synchronous with transmitter" bitfld.long 0x00 29. "BCS,Bit Clock Swap" "0: Use the normal bit clock source,1: Swap the bit clock source" newline bitfld.long 0x00 28. "BCI,Bit Clock Input" "0: No effect,1: Internal logic is clocked as if bit clock was.." bitfld.long 0x00 26.--27. "MSEL,MCLK Select" "0: Bus Clock selected,1: Master Clock (MCLK) 1 option selected,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 25. "BCP,Bit Clock Polarity" "0: Bit Clock is active high with drive outputs..,1: Bit Clock is active low with drive outputs on.." bitfld.long 0x00 24. "BCD,Bit Clock Direction" "0: Bit clock is generated externally in Slave mode,1: Bit clock is generated internally in Master.." newline bitfld.long 0x00 23. "BYP,Bit Clock Bypass" "0: Internal bit clock is generated from bit..,1: Internal bit clock is divide by one of the.." hexmask.long.byte 0x00 0.--7. 1. "DIV,Bit Clock Divide" group.long 0x94++0x03 line.long 0x00 "RCR3,Receive Configuration 3" bitfld.long 0x00 16. "RCE,Receive Channel Enable" "0,1" bitfld.long 0x00 0.--4. "WDFL,Word Flag Configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x98++0x03 line.long 0x00 "RCR4,Receive Configuration 4" bitfld.long 0x00 28. "FCONT,FIFO Continue on Error" "0: On FIFO error the SAI will continue from the..,1: On FIFO error the SAI will continue from the.." bitfld.long 0x00 24.--25. "FPACK,FIFO Packing Mode" "0: FIFO packing is disabled,?,2: 8-bit FIFO packing is enabled,3: 16-bit FIFO packing is enabled" newline bitfld.long 0x00 16.--20. "FRSZ,Frame Size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 8.--12. "SYWD,Sync Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4. "MF,MSB First" "0: LSB is received first,1: MSB is received first" bitfld.long 0x00 3. "FSE,Frame Sync Early" "0: Frame sync asserts with the first bit of the..,1: Frame sync asserts one bit before the first.." newline bitfld.long 0x00 2. "ONDEM,On Demand Mode" "0: Internal frame sync is generated continuously,1: Internal frame sync is generated when the.." bitfld.long 0x00 1. "FSP,Frame Sync Polarity" "0: Frame sync is active high,1: Frame sync is active low" newline bitfld.long 0x00 0. "FSD,Frame Sync Direction" "0: Frame Sync is generated externally in Slave..,1: Frame Sync is generated internally in Master.." group.long 0x9C++0x03 line.long 0x00 "RCR5,Receive Configuration 5" bitfld.long 0x00 24.--28. "WNW,Word N Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "W0W,Word 0 Width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--12. "FBT,First Bit Shifted" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xA0++0x03 line.long 0x00 "RDR0,Receive Data" hexmask.long 0x00 0.--31. 1. "RDR,Receive Data Register" rgroup.long 0xC0++0x03 line.long 0x00 "RFR0,Receive FIFO" hexmask.long.byte 0x00 16.--23. 1. "WFP,Write FIFO Pointer" hexmask.long.byte 0x00 0.--7. 1. "RFP,Read FIFO Pointer" group.long 0xE0++0x03 line.long 0x00 "RMR,Receive Mask" hexmask.long 0x00 0.--31. 1. "RWM,Receive Word Mask" group.long 0xF0++0x03 line.long 0x00 "RTCR,Receive Timestamp Control" bitfld.long 0x00 9. "RBC,Reset Bit Counter" "0: Bit counter is not reset,1: Bit counter is reset" bitfld.long 0x00 8. "RTSC,Reset Timestamp Counter" "0: Timestamp counter is not reset,1: Timestamp counter is reset" newline bitfld.long 0x00 2.--3. "TSSEL,Timestamp Select" "0: Timestamp counter increments when enabled,1: Timestamp counter increments when the..,2: Timestamp counter increments when the receive..,3: Timestamp counter increments when the.." bitfld.long 0x00 1. "TSINC,Timestamp Increment" "0: Timestamp counter starts to increment when..,1: Timestamp counter starts to increment when.." newline bitfld.long 0x00 0. "TSEN,Timestamp Enable" "0: Timestamp counter is disabled,1: Timestamp counter is enabled" rgroup.long 0xF4++0x03 line.long 0x00 "RTSR,Receive Timestamp" hexmask.long 0x00 0.--31. 1. "TSC,Timestamp Counter" rgroup.long 0xF8++0x03 line.long 0x00 "RBCR,Receive Bit Count" hexmask.long 0x00 0.--31. 1. "BCNT,Bit Counter" rgroup.long 0xFC++0x03 line.long 0x00 "RBCTR,Receive Bit Count Timestamp" hexmask.long 0x00 0.--31. 1. "BCTS,Bit Timestamp" group.long 0x100++0x03 line.long 0x00 "MCR,MCLK Control" bitfld.long 0x00 30. "MOE,MCLK Output Enable" "0: MCLK signal pin is an input,1: MCLK signal pin is an output" bitfld.long 0x00 24.--25. "MSEL,MCLK Select" "0: Master Clock (MCLK) 1 option selected,?,2: Master Clock (MCLK) 2 option selected,3: Master Clock (MCLK) 3 option selected" newline bitfld.long 0x00 23. "DIVEN,MCLK Post Divide Enable" "0: Output on MCLK signal pin is the audio master..,1: Output on MCLK signal pin is a post-divided.." hexmask.long.byte 0x00 0.--7. 1. "DIV,MCLK Post Divide" tree.end tree.end tree "SAR_ADC" base ad:0x44530000 group.long 0x00++0x03 line.long 0x00 "MCR,Main Configuration" bitfld.long 0x00 31. "OWREN,Overwrite enable" "0: Older valid conversion data is not..,1: Newer conversion result is always overwritten.." bitfld.long 0x00 30. "WLSIDE,Selects whether conversion data is left or right aligned" "0: Write right-aligned conversion data (from 11..,1: Write left-aligned conversion data (from 15.." newline bitfld.long 0x00 29. "MODE,Normal scan mode select" "0: One-Shot Operation mode,1: Scan Operation mode" bitfld.long 0x00 27. "TRGEN,External trigger enable" "0: External trigger is disabled,1: Enables the external trigger to start a.." newline bitfld.long 0x00 26. "EDGE,Trigger edge select" "0: Falling edge is trigger,1: Rising edge is trigger" bitfld.long 0x00 24. "NSTART,Normal conversion start" "0,1" newline bitfld.long 0x00 22. "JTRGEN,Injection external trigger enable" "0: Injected conversion not started by external..,1: Injected conversion started by external trigger" bitfld.long 0x00 21. "JEDGE,Injection trigger edge selection" "0: Falling edge is trigger,1: Rising edge is trigger" newline bitfld.long 0x00 20. "JSTART,Start injection conversion" "0,1" bitfld.long 0x00 15. "STCL,Self-testing configuration lock" "0: Self-test registers are not locked,1: The self-test configuration is locked (STCR1.." newline bitfld.long 0x00 14. "CALSTART,Calibration start" "0: No effect (default return value),1: CALSTART_START_CAL" bitfld.long 0x00 13. "AVGEN,Average enable" "0: AVGEN_DISABLE,1: Enable (default)" newline bitfld.long 0x00 11.--12. "NRSMPL,Number of averaging samples" "0: NMRSMPL_SAMPLES_16,1: NMRSMPL_SAMPLES_32,2: NMRSMPL_SAMPLES_128,3: NMRSMPL_SAMPLES_512" bitfld.long 0x00 9.--10. "TSAMP,Sample period of calibration conversions" "0: 22 cycles of AD_CLK (default),1: TSAMP_PERIOD_8_CYC,2: TSAMP_PERIOD_16_CYC,3: TSAMP_PERIOD_32_CYC" newline bitfld.long 0x00 8. "ADCLKSE,Analog clock frequency select" "0: AD_CLK frequency is defined by MCR[ADCLKDIV]..,1: AD_CLK frequency is equal to bus clock.." bitfld.long 0x00 7. "ABORTCHAIN,Abort conversion chain" "0: Chain conversion has been aborted or chain..,1: Abort current chain conversion" newline bitfld.long 0x00 6. "ABORT,Abort conversion" "0,1" bitfld.long 0x00 5. "ACKO,Auto-Clock-Off mode enable" "0: Auto-Clock-Off feature is disabled,1: Auto-Clock-Off feature is enabled" newline bitfld.long 0x00 4. "ADCLKDIV,Analog clock divide factor" "0: AD_CLK is bus_clock divided by two (1/2)..,1: AD_CLK is bus_clock divided by four (1/4)" bitfld.long 0x00 0. "PWDN,Power-down enable" "0: When ADC status is in Power-Down mode..,1: Request to enter Power-Down mode" group.long 0x04++0x03 line.long 0x00 "MSR,Main Status" rbitfld.long 0x00 31. "CALIBRTD,Calibration status" "0: Uncalibrated or calibration unsuccessful,1: Calibrated or calibration successful" eventfld.long 0x00 30. "CALFAIL,Calibration failed" "0: Calibration passed (must be checked with..,1: Calibration failed" newline rbitfld.long 0x00 29. "CALBUSY,Calibration busy" "0: ADC is ready for use,1: ADC is busy in a calibration process" rbitfld.long 0x00 24. "NSTART,Normal conversion status" "0: Normal conversion is not in process,1: Normal conversion is in process" newline rbitfld.long 0x00 23. "JABORT,Injected conversion abort status" "0: Injected conversion has not been aborted,1: Injected conversion has been aborted" rbitfld.long 0x00 20. "JSTART,Injected conversion status" "0: Injected conversion is not in process,1: Injected conversion is in process" newline rbitfld.long 0x00 18. "SELF_TEST_S,SELF_TEST_S signals that a self-test conversion is in process" "0: Self-test conversion is not in process,1: Self-test conversion is in process" hexmask.long.byte 0x00 9.--15. 1. "CHADDR,Channel address" newline rbitfld.long 0x00 5. "ACKO,Auto-Clock-Off enable" "0: Auto-Clock-Off feature is not enabled,1: Auto-Clock-Off feature is enabled" rbitfld.long 0x00 0.--2. "ADCSTATUS,ADC status" "0,1,2,3,4,5,6,7" group.long 0x10++0x03 line.long 0x00 "ISR,Interrupt Status" eventfld.long 0x00 3. "JEOC,Injected channel end of conversion" "0: Injected channel end of conversion has not..,1: Injected channel end of conversion has occurred" eventfld.long 0x00 2. "JECH,Injected end of conversion chain" "0: Injected channel end of conversion chain has..,1: Injected channel end of conversion chain has.." newline eventfld.long 0x00 1. "EOC,End of channel conversion" "0: Channel end of conversion has not occurred,1: Channel end of conversion has occurred" eventfld.long 0x00 0. "ECH,End of conversion chain" "0: End of conversion chain has not occurred,1: End of conversion chain has occurred" group.long 0x14++0x03 line.long 0x00 "CEOCFR0,Channel Pending 0" eventfld.long 0x00 7. "EOC_CH7,Channel 7 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 6. "EOC_CH6,Channel 6 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 5. "EOC_CH5,Channel 5 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 4. "EOC_CH4,Channel 4 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 3. "EOC_CH3,Channel 3 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 2. "EOC_CH2,Channel 2 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 1. "EOC_CH1,Channel 1 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 0. "EOC_CH0,EOC_CH0" "0: Conversion not complete,1: Conversion complete" group.long 0x18++0x03 line.long 0x00 "CEOCFR1,Channel Pending 1" eventfld.long 0x00 15. "EOC_CH47,Channel 47 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 14. "EOC_CH46,Channel 46 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 13. "EOC_CH45,Channel 45 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 12. "EOC_CH44,Channel 44 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 11. "EOC_CH43,Channel 43 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 10. "EOC_CH42,Channel 42 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 9. "EOC_CH41,Channel 41 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 8. "EOC_CH40,Channel 40 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 7. "EOC_CH39,Channel 39 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 6. "EOC_CH38,Channel 38 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 5. "EOC_CH37,Channel 37 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 4. "EOC_CH36,Channel 36 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 3. "EOC_CH35,Channel 35 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 2. "EOC_CH34,Channel 34 conversion complete" "0: Conversion not complete,1: Conversion complete" newline eventfld.long 0x00 1. "EOC_CH33,Channel 33 conversion complete" "0: Conversion not complete,1: Conversion complete" eventfld.long 0x00 0. "EOC_CH32,Channel 32 conversion complete" "0: Conversion not complete,1: Conversion complete" group.long 0x20++0x03 line.long 0x00 "IMR,Interrupt Mask" bitfld.long 0x00 3. "MSKJEOC,End of injected conversion interrupt mask" "0: End of injected conversion interrupt disabled,1: End of injected conversion interrupt enabled" bitfld.long 0x00 2. "MSKJECH,End of injected chain conversion interrupt mask" "0: End of injected chain conversion interrupt..,1: End of injected chain conversion interrupt.." newline bitfld.long 0x00 1. "MSKEOC,End of conversion interrupt mask" "0: End of conversion interrupt disabled,1: End of conversion interrupt enabled" bitfld.long 0x00 0. "MSKECH,End of chain conversion interrupt mask" "0: End of chain conversion interrupt disabled,1: End of chain conversion interrupt enabled" group.long 0x24++0x03 line.long 0x00 "CIMR0,Channel Interrupt Mask 0" bitfld.long 0x00 7. "CIM7,Channel 7 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 6. "CIM6,Channel 6 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 5. "CIM5,Channel 5 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 4. "CIM4,Channel 4 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 3. "CIM3,Channel 3 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 2. "CIM2,Channel 2 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 1. "CIM1,Channel 1 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 0. "CIM0,Channel 0 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" group.long 0x28++0x03 line.long 0x00 "CIMR1,Channel Interrupt Mask 1" bitfld.long 0x00 15. "CIM47,Channel 47 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 14. "CIM46,Channel 46 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 13. "CIM45,Channel 45 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 12. "CIM44,Channel 44 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 11. "CIM43,Channel 43 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 10. "CIM42,Channel 42 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 9. "CIM41,Channel 41 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 8. "CIM40,Channel 40 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 7. "CIM39,Channel 39 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 6. "CIM38,Channel 38 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 5. "CIM37,Channel 37 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 4. "CIM36,Channel 36 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 3. "CIM35,Channel 35 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 2. "CIM34,Channel 34 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" newline bitfld.long 0x00 1. "CIM33,Channel 33 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" bitfld.long 0x00 0. "CIM32,Channel 32 interrupt enable" "0: Interrupt disabled,1: Interrupt enabled" group.long 0x30++0x03 line.long 0x00 "WTISR,Watchdog Threshold Interrupt Status" eventfld.long 0x00 15. "WDG7H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 14. "WDG7L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt not asserted,1: Interrupt asserted" newline eventfld.long 0x00 13. "WDG6H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 12. "WDG6L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0,1" newline eventfld.long 0x00 11. "WDG5H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 10. "WDG5L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt not asserted,1: Interrupt asserted" newline eventfld.long 0x00 9. "WDG4H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 8. "WDG4L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0,1" newline eventfld.long 0x00 7. "WDG3H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 6. "WDG3L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt not asserted,1: Interrupt asserted" newline eventfld.long 0x00 5. "WDG2H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 4. "WDG2L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt not asserted,1: Interrupt asserted" newline eventfld.long 0x00 3. "WDG1H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 2. "WDG1L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0,1" newline eventfld.long 0x00 1. "WDG0H,This corresponds to the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt not asserted,1: Interrupt asserted" eventfld.long 0x00 0. "WDG0L,This corresponds to the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt not asserted,1: Interrupt asserted" group.long 0x34++0x03 line.long 0x00 "WTIMR,Watchdog Threshold Interrupt Mask" bitfld.long 0x00 15. "MSKWDG7H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 14. "MSKWDG7L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 13. "MSKWDG6H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 12. "MSKWDG6L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 11. "MSKWDG5H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 10. "MSKWDG5L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 9. "MSKWDG4H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 8. "MSKWDG4L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 7. "MSKWDG3H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 6. "MSKWDG3L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 5. "MSKWDG2H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 4. "MSKWDG2L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 3. "MSKWDG1H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 2. "MSKWDG1L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" newline bitfld.long 0x00 1. "MSKWDG0H,This corresponds to the mask bit for the interrupt generated on the converted value being higher than the programmed higher threshold" "0: Interrupt is disabled,1: Interrupt is enabled" bitfld.long 0x00 0. "MSKWDG0L,This corresponds to the mask bit for the interrupt generated on the converted value being lower than the programmed lower threshold" "0: Interrupt is disabled,1: Interrupt is enabled" group.long 0x40++0x03 line.long 0x00 "DMAE,DMAE" bitfld.long 0x00 1. "DCLR,DMA clear sequence enable" "0: DMA request cleared by acknowledge from DMA..,1: DMA request cleared on read of data registers" bitfld.long 0x00 0. "DMAEN,DMA global enable" "0: DMA feature is disabled,1: DMA feature is enabled" group.long 0x44++0x03 line.long 0x00 "DMAR0,DMA 0" bitfld.long 0x00 7. "DMA7,Channel 7 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 6. "DMA6,Channel 6 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 5. "DMA5,Channel 5 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 4. "DMA4,Channel 4 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 3. "DMA3,Channel 3 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 2. "DMA2,Channel 2 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 1. "DMA1,Channel 1 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 0. "DMA0,Channel 0 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" group.long 0x48++0x03 line.long 0x00 "DMAR1,DMA 1" bitfld.long 0x00 15. "DMA47,Channel 47 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 14. "DMA46,Channel 46 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 13. "DMA45,Channel 45 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 12. "DMA44,Channel 44 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 11. "DMA43,Channel 43 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 10. "DMA42,Channel 42 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 9. "DMA41,Channel 41 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 8. "DMA40,Channel 40 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 7. "DMA39,Channel 39 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 6. "DMA38,Channel 38 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 5. "DMA37,Channel 37 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 4. "DMA36,Channel 36 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 3. "DMA35,Channel 35 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 2. "DMA34,Channel 34 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" newline bitfld.long 0x00 1. "DMA33,Channel 33 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" bitfld.long 0x00 0. "DMA32,Channel 32 DMA enable" "0: Transfer of data in DMA mode is disabled,1: Transfer of data in DMA mode is enabled" group.long 0x60++0x03 line.long 0x00 "THRHLR0,Analog Watchdog Threshold 0" hexmask.long.word 0x00 16.--27. 1. "THRH,THRH" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for channel n" repeat 3. (strings "1" "2" "3" )(list 0x0 0x4 0x8 ) group.long ($2+0x64)++0x03 line.long 0x00 "THRHLR$1,Analog Watchdog Threshold $1" hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value for channel n" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for channel n" repeat.end group.long 0x80++0x03 line.long 0x00 "PSCR,Presampling Control" bitfld.long 0x00 3.--4. "PREVAL1,Internal presampling voltage selection" "0,1,2,3" bitfld.long 0x00 1.--2. "PREVAL0,Internal presampling voltage selection" "0,1,2,3" newline bitfld.long 0x00 0. "PRECONV,Convert presampled value If PRECONV is set presampling is followed by the conversion" "0,1" group.long 0x84++0x03 line.long 0x00 "PSR0,Presampling 0" bitfld.long 0x00 7. "PRES7,Presampling enable for channel 7" "0,1" bitfld.long 0x00 6. "PRES6,Presampling enable for channel 6" "0,1" newline bitfld.long 0x00 5. "PRES5,Presampling enable for channel 5" "0,1" bitfld.long 0x00 4. "PRES4,Presampling enable for channel 4" "0,1" newline bitfld.long 0x00 3. "PRES3,Presampling enable for channel 3" "0,1" bitfld.long 0x00 2. "PRES2,Presampling enable for channel 2" "0,1" newline bitfld.long 0x00 1. "PRES1,Presampling enable for channel 1" "0,1" bitfld.long 0x00 0. "PRES0,Presampling enable for channel 0" "0: Presampling is disabled,1: Presampling is enabled" group.long 0x88++0x03 line.long 0x00 "PSR1,Presampling 1" bitfld.long 0x00 15. "PRES47,Presampling enable for channel 47" "0,1" bitfld.long 0x00 14. "PRES46,Presampling enable for channel 46" "0,1" newline bitfld.long 0x00 13. "PRES45,Presampling enable for channel 45" "0,1" bitfld.long 0x00 12. "PRES44,Presampling enable for channel 44" "0,1" newline bitfld.long 0x00 11. "PRES43,Presampling enable for channel 43" "0,1" bitfld.long 0x00 10. "PRES42,Presampling enable for channel 42" "0,1" newline bitfld.long 0x00 9. "PRES41,PRES41" "0,1" bitfld.long 0x00 8. "PRES40,Presampling enable for channel 40" "0,1" newline bitfld.long 0x00 7. "PRES39,Presampling enable for channel 39" "0,1" bitfld.long 0x00 6. "PRES38,Presampling enable for channel 38" "0,1" newline bitfld.long 0x00 5. "PRES37,Presampling enable for channel 37" "0,1" bitfld.long 0x00 4. "PRES36,Presampling enable for channel 36" "0,1" newline bitfld.long 0x00 3. "PRES35,Presampling enable for channel 35" "0,1" bitfld.long 0x00 2. "PRES34,Presampling enable for channel 34" "0,1" newline bitfld.long 0x00 1. "PRES33,Presampling enable for channel 33" "0,1" bitfld.long 0x00 0. "PRES32,Presampling enable for channel 32" "0: Presampling is disabled,1: Presampling is enabled" repeat 2. (strings "0" "1" )(list 0x0 0x4 ) group.long ($2+0x94)++0x03 line.long 0x00 "CTR$1,Conversion Timing $1" hexmask.long.byte 0x00 0.--7. 1. "INPSAMP,Sampling phase duration" repeat.end group.long 0xA4++0x03 line.long 0x00 "NCMR0,Normal Conversion Mask 0" bitfld.long 0x00 7. "CH7,Normal sampling enable for channel 7" "0,1" bitfld.long 0x00 6. "CH6,Normal sampling enable for channel 6" "0,1" newline bitfld.long 0x00 5. "CH5,Normal sampling enable for channel 5" "0,1" bitfld.long 0x00 4. "CH4,Normal sampling enable for channel 4" "0,1" newline bitfld.long 0x00 3. "CH3,Normal sampling enable for channel 3" "0,1" bitfld.long 0x00 2. "CH2,Normal sampling enable for channel 2" "0,1" newline bitfld.long 0x00 1. "CH1,Normal sampling enable for channel 1" "0,1" bitfld.long 0x00 0. "CH0,Normal sampling enable for channel 0" "0: Normal sampling is disabled,1: Normal sampling is enabled" group.long 0xA8++0x03 line.long 0x00 "NCMR1,Normal Conversion Mask 1" bitfld.long 0x00 15. "CH47,Normal sampling enable for channel 47" "0,1" bitfld.long 0x00 14. "CH46,Normal sampling enable for channel 46" "0,1" newline bitfld.long 0x00 13. "CH45,Normal sampling enable for channel 45" "0,1" bitfld.long 0x00 12. "CH44,Normal sampling enable for channel 44" "0,1" newline bitfld.long 0x00 11. "CH43,Normal sampling enable for channel 43" "0,1" bitfld.long 0x00 10. "CH42,Normal sampling enable for channel 42" "0,1" newline bitfld.long 0x00 9. "CH41,Normal sampling enable for channel 41" "0,1" bitfld.long 0x00 8. "CH40,Normal sampling enable for channel 40" "0,1" newline bitfld.long 0x00 7. "CH39,Normal sampling enable for channel 39" "0,1" bitfld.long 0x00 6. "CH38,Normal sampling enable for channel 38" "0,1" newline bitfld.long 0x00 5. "CH37,Normal sampling enable for channel 37" "0,1" bitfld.long 0x00 4. "CH36,Normal sampling enable for channel 36" "0,1" newline bitfld.long 0x00 3. "CH35,Normal sampling enable for channel 35" "0,1" bitfld.long 0x00 2. "CH34,Normal sampling enable for channel 34" "0,1" newline bitfld.long 0x00 1. "CH33,Normal sampling enable for channel 33" "0,1" bitfld.long 0x00 0. "CH32,Normal sampling enable for channel 32" "0: Normal sampling is disabled,1: Normal sampling is enabled" group.long 0xB4++0x03 line.long 0x00 "JCMR0,Injected Conversion Mask 0" bitfld.long 0x00 7. "CH7,Injected sampling enable for channel 7" "0,1" bitfld.long 0x00 6. "CH6,Injected sampling enable for channel 6" "0,1" newline bitfld.long 0x00 5. "CH5,Injected sampling enable for channel 5" "0,1" bitfld.long 0x00 4. "CH4,Injected sampling enable for channel 4" "0,1" newline bitfld.long 0x00 3. "CH3,Injected sampling enable for channel 3" "0,1" bitfld.long 0x00 2. "CH2,Injected sampling enable for channel 2" "0,1" newline bitfld.long 0x00 1. "CH1,Injected sampling enable for channel 1" "0,1" bitfld.long 0x00 0. "CH0,Injected sampling enable for channel 0" "0: Injected sampling is disabled,1: Injected sampling is enabled" group.long 0xB8++0x03 line.long 0x00 "JCMR1,Injected Conversion Mask 1" bitfld.long 0x00 15. "CH47,Injected sampling enable for channel 47" "0,1" bitfld.long 0x00 14. "CH46,Injected sampling enable for channel 46" "0,1" newline bitfld.long 0x00 13. "CH45,Injected sampling enable for channel 45" "0,1" bitfld.long 0x00 12. "CH44,Injected sampling enable for channel 44" "0,1" newline bitfld.long 0x00 11. "CH43,Injected sampling enable for channel 43" "0,1" bitfld.long 0x00 10. "CH42,Injected sampling enable for channel 42" "0,1" newline bitfld.long 0x00 9. "CH41,Injected sampling enable for channel 41" "0,1" bitfld.long 0x00 8. "CH40,Injected sampling enable for channel 40" "0,1" newline bitfld.long 0x00 7. "CH39,Injected sampling enable for channel 39" "0,1" bitfld.long 0x00 6. "CH38,Injected sampling enable for channel 38" "0,1" newline bitfld.long 0x00 5. "CH37,Injected sampling enable for channel 37" "0,1" bitfld.long 0x00 4. "CH36,Injected sampling enable for channel 36" "0,1" newline bitfld.long 0x00 3. "CH35,Injected sampling enable for channel 35" "0,1" bitfld.long 0x00 2. "CH34,Injected sampling enable for channel 34" "0,1" newline bitfld.long 0x00 1. "CH33,Injected sampling enable for channel 33" "0,1" bitfld.long 0x00 0. "CH32,Injected sampling enable for channel 32" "0: Injected sampling is disabled,1: Injected sampling is enabled" group.long 0xC0++0x03 line.long 0x00 "USROFSGN,User OFFSET and Gain" hexmask.long.word 0x00 16.--25. 1. "GAINUSER,User defined gain value" hexmask.long.byte 0x00 0.--7. 1. "OFFSUSER,User defined offset" group.long 0xC8++0x03 line.long 0x00 "PDEDR,Power Down Exit Delay" hexmask.long.byte 0x00 0.--7. 1. "PDED,The delay between the power-down bit reset and the start of conversion" repeat 8. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x100)++0x03 line.long 0x00 "PCDR[$1],Precision Channel n Data $1" bitfld.long 0x00 19. "VALID,Conversion data valid" "0,1" bitfld.long 0x00 18. "OVERW,Data over" "0,1" newline bitfld.long 0x00 16.--17. "RESULT,This field reflects the mode of conversion for the corresponding channel" "0: Data is a result of Normal conversion mode,1: Data is a result of Injected conversion mode,2: Data is a result of CTU conversion mode,?..." hexmask.long.word 0x00 0.--11. 1. "CDATA,Channel converted data" repeat.end repeat 16. (strings "32" "33" "34" "35" "36" "37" "38" "39" "40" "41" "42" "43" "44" "45" "46" "47" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x180)++0x03 line.long 0x00 "ICDR$1,Internal Channel n Data" bitfld.long 0x00 19. "VALID,Conversion data valid" "0,1" bitfld.long 0x00 18. "OVERW,Data over" "0,1" newline bitfld.long 0x00 16.--17. "RESULT,This field reflects the mode of conversion for the corresponding channel" "0: Data is a result of Normal conversion mode,1: Data is a result of Injected conversion mode,2: Data is a result of CTU conversion mode,?..." hexmask.long.word 0x00 0.--11. 1. "CDATA,Channel converted data" repeat.end repeat 4. (strings "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "THRHLR$1,Analog Watchdog Threshold $1" hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value for channel n" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for channel n" repeat.end group.long 0x2B0++0x03 line.long 0x00 "CWSELR0,Channel Watchdog Select 0" bitfld.long 0x00 28.--30. "WSEL_CH7,Channel Watchdog select for channel 7" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. "WSEL_CH6,Channel Watchdog select for channel 6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. "WSEL_CH5,Channel Watchdog select for channel 5" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. "WSEL_CH4,Channel Watchdog select for channel 4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "WSEL_CH3,Channel Watchdog select for channel 3" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. "WSEL_CH2,Channel Watchdog select for channel 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. "WSEL_CH1,Channel Watchdog select for channel 1" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "WSEL_CH0,Channel Watchdog select for channel 0" "0,1,2,3,4,5,6,7" group.long 0x2C0++0x03 line.long 0x00 "CWSELR4,Channel Watchdog Select 4" bitfld.long 0x00 28.--30. "WSEL_CH39,Channel Watchdog select for channel 39" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. "WSEL_CH38,Channel Watchdog select for channel 38" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. "WSEL_CH37,Channel Watchdog select for channel 37" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. "WSEL_CH36,Channel Watchdog select for channel 36" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "WSEL_CH35,Channel Watchdog select for channel 35" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. "WSEL_CH34,Channel Watchdog select for channel 34" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. "WSEL_CH33,Channel Watchdog select for channel 33" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "WSEL_CH32,Channel Watchdog select for channel 32" "0,1,2,3,4,5,6,7" group.long 0x2C4++0x03 line.long 0x00 "CWSELR5,Channel Watchdog Select 5" bitfld.long 0x00 28.--30. "WSEL_CH47,Channel Watchdog select for channel 47" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. "WSEL_CH46,Channel Watchdog select for channel 46" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. "WSEL_CH45,Channel Watchdog select for channel 45" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. "WSEL_CH44,Channel Watchdog select for channel 44" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "WSEL_CH43,Channel Watchdog select for channel 43" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. "WSEL_CH42,Channel Watchdog select for channel 42" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. "WSEL_CH41,Channel Watchdog select for channel 41" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. "WSEL_CH40,Channel Watchdog select for channel 40" "0,1,2,3,4,5,6,7" group.long 0x2E0++0x03 line.long 0x00 "CWENR0,Channel Watchdog Enable 0" bitfld.long 0x00 7. "CWEN7,Watchdog enable for channel 7" "0,1" bitfld.long 0x00 6. "CWEN6,Watchdog enable for channel 6" "0,1" newline bitfld.long 0x00 5. "CWEN5,Watchdog enable for channel 5" "0,1" bitfld.long 0x00 4. "CWEN4,Watchdog enable for channel 4" "0,1" newline bitfld.long 0x00 3. "CWEN3,Watchdog enable for channel 3" "0,1" bitfld.long 0x00 2. "CWEN2,Watchdog enable for channel 2" "0,1" newline bitfld.long 0x00 1. "CWEN1,Watchdog enable for channel 1" "0,1" bitfld.long 0x00 0. "CWEN0,Watchdog enable for channel 0" "0: CWENR0_CWEN0_DISABLED,1: CWENR0_CWEN0_ENABLED" group.long 0x2E4++0x03 line.long 0x00 "CWENR1,Channel Watchdog Enable 1" bitfld.long 0x00 15. "CWEN47,Watchdog enable for channel 47" "0,1" bitfld.long 0x00 14. "CWEN46,Watchdog enable for channel 46" "0,1" newline bitfld.long 0x00 13. "CWEN45,Watchdog enable for channel 45" "0,1" bitfld.long 0x00 12. "CWEN44,Watchdog enable for channel 44" "0,1" newline bitfld.long 0x00 11. "CWEN43,Watchdog enable for channel 43" "0,1" bitfld.long 0x00 10. "CWEN42,Watchdog enable for channel 42" "0,1" newline bitfld.long 0x00 9. "CWEN41,Watchdog enable for channel 41" "0,1" bitfld.long 0x00 8. "CWEN40,Watchdog enable for channel 40" "0,1" newline bitfld.long 0x00 7. "CWEN39,Watchdog enable for channel 39" "0,1" bitfld.long 0x00 6. "CWEN38,Watchdog enable for channel 38" "0,1" newline bitfld.long 0x00 5. "CWEN37,Watchdog enable for channel 37" "0,1" bitfld.long 0x00 4. "CWEN36,Watchdog enable for channel 36" "0,1" newline bitfld.long 0x00 3. "CWEN35,Watchdog enable for channel 35" "0,1" bitfld.long 0x00 2. "CWEN34,Watchdog enable for channel 34" "0,1" newline bitfld.long 0x00 1. "CWEN33,Watchdog enable for channel 33" "0,1" bitfld.long 0x00 0. "CWEN32,Watchdog enable for channel 32" "0: CWENR1_CWEN32_DISABLED,1: CWENR1_CWEN32_ENABLED" group.long 0x2F0++0x03 line.long 0x00 "AWORR0,Analog Watchdog Out of Range 0" eventfld.long 0x00 7. "AWOR_CH7,Indicates channel 7 converted data is out of range" "0,1" eventfld.long 0x00 6. "AWOR_CH6,Indicates channel 6 converted data is out of range" "0,1" newline eventfld.long 0x00 5. "AWOR_CH5,Indicates channel 5 converted data is out of range" "0,1" eventfld.long 0x00 4. "AWOR_CH4,Indicates channel 4 converted data is out of range" "0,1" newline eventfld.long 0x00 3. "AWOR_CH3,Indicates channel 3 converted data is out of range" "0,1" eventfld.long 0x00 2. "AWOR_CH2,Indicates channel 2 converted data is out of range" "0,1" newline eventfld.long 0x00 1. "AWOR_CH1,Indicates channel 1 converted data is out of range" "0,1" eventfld.long 0x00 0. "AWOR_CH0,Indicates channel 0 converted data is out of range" "0: Converted data is in range,1: Converted data is out of range" group.long 0x2F4++0x03 line.long 0x00 "AWORR1,Analog Watchdog Out of Range 1" eventfld.long 0x00 15. "AWOR_CH47,Indicates channel 47 converted data is out of range" "0,1" eventfld.long 0x00 14. "AWOR_CH46,Indicates channel 46 converted data is out of range" "0,1" newline eventfld.long 0x00 13. "AWOR_CH45,Indicates channel 45 converted data is out of range" "0,1" eventfld.long 0x00 12. "AWOR_CH44,Indicates channel 44 converted data is out of range" "0,1" newline eventfld.long 0x00 11. "AWOR_CH43,Indicates channel 43 converted data is out of range" "0,1" eventfld.long 0x00 10. "AWOR_CH42,Indicates channel 42 converted data is out of range" "0,1" newline eventfld.long 0x00 9. "AWOR_CH41,Indicates channel 41 converted data is out of range" "0,1" eventfld.long 0x00 8. "AWOR_CH40,Indicates channel 40 converted data is out of range" "0,1" newline eventfld.long 0x00 7. "AWOR_CH39,Indicates channel 39 converted data is out of range" "0,1" eventfld.long 0x00 6. "AWOR_CH38,Indicates channel 38 converted data is out of range" "0,1" newline eventfld.long 0x00 5. "AWOR_CH37,Indicates channel 37 converted data is out of range" "0,1" eventfld.long 0x00 4. "AWOR_CH36,Indicates channel 36 converted data is out of range" "0,1" newline eventfld.long 0x00 3. "AWOR_CH35,Indicates channel 35 converted data is out of range" "0,1" eventfld.long 0x00 2. "AWOR_CH34,Indicates channel 34 converted data is out of range" "0,1" newline eventfld.long 0x00 1. "AWOR_CH33,Indicates channel 33 converted data is out of range" "0,1" eventfld.long 0x00 0. "AWOR_CH32,Indicates channel 32 converted data is out of range" "0: Converted data is in range,1: Converted data is out of range" group.long 0x340++0x03 line.long 0x00 "STCR1,Self-Test Configuration 1" hexmask.long.byte 0x00 24.--31. 1. "INPSAMP_C,Sampling phase duration for the test conversions related to Algorithm C" hexmask.long.byte 0x00 8.--15. 1. "INPSAMP_S,Sampling phase duration for the test conversions related to Algorithm S" group.long 0x344++0x03 line.long 0x00 "STCR2,Self-Test Configuration 2" bitfld.long 0x00 27. "MSKWDSERR,Watchdog sequence error interrupt mask" "0: STCR2_MSKWDSERR_DISABLED,1: Interrupt enabled indication on the line.." bitfld.long 0x00 26. "SERR,Error fault injection field (write-only)" "0,1" newline bitfld.long 0x00 25. "MSKWDTERR,Watchdog timer error interrupt mask" "0: STCR2_MSKWDTERR_DISABLED,1: Interrupt enabled indication on the line.." bitfld.long 0x00 23. "MSKST_EOC,Self-Test EOC interrupt mask" "0: STCR2_MSKST_EOC_DISABLED,1: STCR2_MSKST_EOC_ENABLED" newline bitfld.long 0x00 18. "MSKWDG_EOA_C,End of algorithm C interrupt mask" "0: STCR2_MSKWDG_EOAC_DISABLED,1: Interrupt enabled interrupt indication on the.." bitfld.long 0x00 16. "MSKWDG_EOA_S,End of algorithm S interrupt mask" "0: STCR2_MSKWDG_EOAS_DISABLED,1: Interrupt enabled indication on the line.." newline bitfld.long 0x00 15. "MSKERR_C,Error on algorithm C channel interrupt mask" "0: STCR2_MSKERRC_DISABLED,1: Interrupt enabled interrupt indication on the.." bitfld.long 0x00 13. "MSKERR_S2,Error on algorithm S2 channel interrupt mask" "0: STCR2_MSKERRS2_DISABLED,1: Interrupt enabled interrupt indication on the.." newline bitfld.long 0x00 12. "MSKERR_S1,Error on algorithm S1 channel interrupt mask" "0: STCR2_MSKERRS1_DISABLED,1: Interrupt enabled interrupt indication on the.." bitfld.long 0x00 11. "MSKERR_S0,Error on algorithm S0 channel interrupt mask" "0: STCR2_MSKERRS0_DISABLED,1: Interrupt enabled interrupt indication on the.." newline bitfld.long 0x00 7. "EN,Self-testing channel enable" "0: STCR2_EN_OFF,1: STCR2_EN_ON" bitfld.long 0x00 4. "FMA_WDSERR,Fault mapping for watchdog sequence error" "0: STCR2_FMAWDSERR_NCF,1: STCR2_FMAWDSERR_CF" newline bitfld.long 0x00 3. "FMA_WDTERR,Fault mapping for watchdog timer error" "0: STCR2_FMAWDTERR_NCF,1: STCR2_FMAWDTERR_CF" bitfld.long 0x00 2. "FMA_C,Fault mapping for algorithm C" "0: STCR2_FMAC_NCF,1: STCR2_FMAC_CF" newline bitfld.long 0x00 0. "FMA_S,Fault mapping for BGAP algorithm" "0: Non-Critical Fault (NCF) mapping,1: Critical Fault (CF) mapping" group.long 0x348++0x03 line.long 0x00 "STCR3,Self-Test Configuration 3" bitfld.long 0x00 8.--9. "ALG,One-Shot Operation mode algorithm scheduling" "0: Algorithm S (single step=MSTEP),1: Reserved,2: Algorithm C (single step=MSTEP),3: Algorithm S (default) For test/debug purposes" bitfld.long 0x00 0.--4. "MSTEP,For One-Shot Operation mode: Current step for Algorithm S/RC/C" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x34C++0x03 line.long 0x00 "STBRR,Self-Test Baud Rate" bitfld.long 0x00 16.--18. "WDT,The watchdog timer value is used to monitor the algorithm sequence to verify that it is correctly executing within the safe time period" "0: 0.1 ms ((0008h * Prescaler) cycles at 80 MHz),1: 0.5 ms ((0027h * Prescaler) cycles at 80 MHz),2: 1 ms ((004Eh * Prescaler) cycles at 80 MHz),3: 2 ms ((009Ch * Prescaler) cycles at 80 MHz),4: 5 ms ((0187h * Prescaler) cycles at 80 MHz),5: 10 ms ((030Dh * Prescaler) cycles at 80 MHz),6: 20 ms (061Ah * Prescaler) cycles at 80 MHz),7: 50 ms (0F42h *Prescaler) cycles at 80 MHz)" hexmask.long.byte 0x00 0.--7. 1. "BR,Algorithm baud rate" group.long 0x350++0x03 line.long 0x00 "STSR1,Self-Test Status 1" eventfld.long 0x00 27. "WDSERR,Watchdog sequence errors" "0: STSR1_WDSERR_NO_FAIL,1: STSR1_WDSERR_FAIL" eventfld.long 0x00 25. "WDTERR,Watchdog timer error" "0: STSR1_WDTERR_NO_FAIL,1: STSR1_WDTERR_FAIL" newline eventfld.long 0x00 24. "OVERWR,Overwrite error" "0: STSR1_OVERWR_NO_ERROR,1: Overwrite error occurred" eventfld.long 0x00 23. "ST_EOC,Self-test EOC" "0: Self-test end of conversion is not complete,1: Self-test end of conversion is complete" newline eventfld.long 0x00 18. "WDG_EOA_C,Indicates that Algorithm C has completed" "0: Self-test end of Algorithm C conversion is..,1: Self-test end of Algorithm C conversion is.." eventfld.long 0x00 16. "WDG_EOA_S,Indicates that Algorithm S has been completed" "0: Self-test end of Algorithm S conversion is..,1: Self-test end of Algorithm S conversion is.." newline eventfld.long 0x00 15. "ERR_C,Algorithm C error" "0: No Algorithm C error,1: Algorithm C error occurred" eventfld.long 0x00 13. "ERR_S2,STSR1[ERR_S2] indicates an error on the self-test channel (Algorithm S (SUPPLY) step2)" "0: No error occurred on the sampled signal,1: Error occurred on the sampled signal" newline eventfld.long 0x00 12. "ERR_S1,STSR1[ERR_S1] indicates an error on the self-test channel (Algorithm S (SUPPLY) step1)" "0: STSR1_ERRS1_NO_VDDERR,1: STSR1_ERRS1_VDDERR" eventfld.long 0x00 11. "ERR_S0,STSR1[ERR_S0] indicates an error on the self-test channel (Algorithm S (SUPPLY) step0)" "0: STSR1_ERRS0_NO_VREFERR,1: STSR1_ERRS0_VREFERR" newline eventfld.long 0x00 5.--9. "STEP_C,Algorithm C step number error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x354++0x03 line.long 0x00 "STSR2,Self-Test Status 2" bitfld.long 0x00 31. "OVFL,Overflow bit Overflow bit is set when divisor is zero" "0,1" hexmask.long.word 0x00 16.--27. 1. "DATA1,Test channel converted data when the ERR_S1 has occurred" newline hexmask.long.word 0x00 0.--11. 1. "DATA0,Test channel converted data when the ERR_S1 has occurred" rgroup.long 0x358++0x03 line.long 0x00 "STSR3,Self-Test Status 3" hexmask.long.word 0x00 16.--27. 1. "DATA1,Test channel converted data when the ERR_S2 has occurred" hexmask.long.word 0x00 0.--11. 1. "DATA0,Test channel converted data when the ERR_S0 has occurred" rgroup.long 0x35C++0x03 line.long 0x00 "STSR4,Self-Test Status 4" hexmask.long.word 0x00 16.--27. 1. "DATA1,DATA1" rgroup.long 0x370++0x03 line.long 0x00 "STDR1,Self-Test Data 1" bitfld.long 0x00 19. "VALID,Valid data" "0,1" bitfld.long 0x00 18. "OWERWR,Overwrite data" "0,1" newline hexmask.long.word 0x00 0.--11. 1. "TCDATA,Test channel converted data" rgroup.long 0x374++0x03 line.long 0x00 "STDR2,Self-Test Data 2" hexmask.long.word 0x00 20.--31. 1. "FDATA,Fractional data" bitfld.long 0x00 19. "VALID,Valid data" "0,1" newline bitfld.long 0x00 18. "OVERWR,Overwrite data" "0,1" hexmask.long.word 0x00 0.--11. 1. "IDATA,Integer data" group.long 0x380++0x03 line.long 0x00 "STAW0R,Self-Test Analog Watchdog 0" bitfld.long 0x00 31. "AWDE,Enables/disables the comparison of the conversion result from the ADC supply self-test step0 to the thresholds contained in this register (THRH and THRL)" "0: STAW0R_AWDE_DISABLED,1: STAW0R_AWDE_ENABLED" bitfld.long 0x00 30. "WDTE,Watchdog timer enable (related to the Algorithm S)" "0: STAW0R_WDTE_DISABLED,1: STAW0R_WDTE_ENABLED" newline hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value for Algorithm S step0" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for Algorithm S step0" group.long 0x384++0x03 line.long 0x00 "STAW1AR,Self-Test Analog Watchdog 1A" bitfld.long 0x00 31. "AWDE,Analog watchdog enable related to Algorithm S (step1)" "0,1" hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value (integer part) for Algorithm S (step1) test channel (unsigned coding)" newline hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value (integer part) for Algorithm S (step1) test channel (unsigned coding)" group.long 0x388++0x03 line.long 0x00 "STAW1BR,Self-Test Analog Watchdog 1B" hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value (fractional part) for Algorithm S (step1) test channel (unsigned coding)" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value (fractional part) for Algorithm S (step1) test channel (unsigned coding)" group.long 0x38C++0x03 line.long 0x00 "STAW2R,Self-Test Analog Watchdog 2" bitfld.long 0x00 31. "AWDE,Analog watchdog enable related to Algorithm S (step2)" "0,1" hexmask.long.word 0x00 0.--11. 1. "THRL,Threshold level low" rgroup.long 0x390++0x03 line.long 0x00 "STAW3R,Self-Test Analog Watchdog 3" group.long 0x394++0x03 line.long 0x00 "STAW4R,Self-Test Analog Watchdog 4" bitfld.long 0x00 31. "AWDE,Analog watchdog enable (related to Algorithm C)" "0: STAW4R_AWDE_DISABLED,1: STAW4R_AWDE_ENABLED" bitfld.long 0x00 30. "WDTE,Watchdog timer enable (related to Algorithm C)" "0: STAW4R_WDTE_DISABLED,1: STAW4R_WDTE_ENABLED" newline hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value for step0 of Algorithm C" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for step0 of Algorithm C" group.long 0x398++0x03 line.long 0x00 "STAW5R,Self-Test Analog Watchdog 5" hexmask.long.word 0x00 16.--27. 1. "THRH,High threshold value for step N of Algorithm C (N = 1 to CS-1)" hexmask.long.word 0x00 0.--11. 1. "THRL,Low threshold value for step0 of Algorithm C" rgroup.long 0x39C++0x03 line.long 0x00 "CALSTAT,Calibration Status" hexmask.long.word 0x00 16.--31. 1. "TEST_RESULT,TEST_RESULT" bitfld.long 0x00 15. "TST_CAL_PASS,Calibration PASS status" "0,1" newline bitfld.long 0x00 14. "TST_CAL_BUSY,Calibration busy status" "0,1" bitfld.long 0x00 11. "STAT_12,Status of Calibration step 12" "0: CALSTAT_STAT12_PASSED,1: CALSTAT_STAT12_FAILED" newline bitfld.long 0x00 10. "STAT_11,Status of calibration step 11" "0: CALSTAT_STAT11_PASSED,1: CALSTAT_STAT11_FAILED" bitfld.long 0x00 9. "STAT_10,Status of calibration step 10" "0: CALSTAT_STAT10_PASSED,1: CALSTAT_STAT10_FAILED" newline bitfld.long 0x00 8. "STAT_9,Status of calibration step 9" "0: CALSTAT_STAT9_PASSED,1: CALSTAT_STAT9_FAILED" bitfld.long 0x00 7. "STAT_8,Status of calibration step 8" "0: CALSTAT_STAT8_PASSED,1: CALSTAT_STAT8_FAILED" newline bitfld.long 0x00 6. "STAT_7,Status of calibration step 7" "0: CALSTAT_STAT7_PASSED,1: CALSTAT_STAT7_FAILED" bitfld.long 0x00 5. "STAT_6,Status of calibration step 6" "0: CALSTAT_STAT6_PASSED,1: CALSTAT_STAT6_FAILED" newline bitfld.long 0x00 4. "STAT_5,Status of calibration step 5" "0: CALSTAT_STAT5_PASSED,1: CALSTAT_STAT5_FAILED" bitfld.long 0x00 3. "STAT_4,Status of calibration step 4" "0: CALSTAT_STAT4_PASSED,1: CALSTAT_STAT4_FAILED" newline bitfld.long 0x00 2. "STAT_3,Status of calibration step 3" "0: CALSTAT_STAT3_PASSED,1: CALSTAT_STAT3_FAILED" bitfld.long 0x00 1. "STAT_2,Status of calibration step 2" "0: CALSTAT_STAT2_PASSED,1: CALSTAT_STAT2_FAILED" newline bitfld.long 0x00 0. "STAT_1,Status of calibration step 1" "0: CALSTAT_STAT1_PASSED,1: CALSTAT_STAT1_FAILED" group.long 0x3A0++0x03 line.long 0x00 "CALCFG0,Calibration Configuration 0" hexmask.long.byte 0x00 24.--31. 1. "CAL_Y_VAL,Absolute value to be used in offset calculation by hardware (range 0 to 64)" bitfld.long 0x00 18.--23. "CAL_X_VAL,Absolute value to be used in BIST test by hardware (range 0 to 31)" "?,?,?,?,4: 0.5 LSB DNL @ 12 bit resolution,?,6: 0.75 LSB DNL @ 12 bit resolution (default),?,8: 1.0 LSB DNL @ 2 bit resolution,?,?,?,?,?,?,?,16: 2.0 LSB DNL @ 12 bit resolution,?..." newline bitfld.long 0x00 16.--17. "ACC_A,Accuracy settings A" "0: VALUE_00,1: VALUE_01,2: VALUE_10,3: 11 bit (default)" bitfld.long 0x00 14.--15. "ACC_B,Accuracy Settings B" "0: VALUE_00,1: C (default),2: VALUE_10,3: VALUE_11" newline bitfld.long 0x00 12.--13. "ACC_DGAINH,Accuracy settings high (MSB) for gain field" "0,1,2,3" bitfld.long 0x00 8.--11. "BNDFLCNT,Boundary condition fail count" "0: Single occurrence,1: Double occurrence,2: Four occurrence,?..." newline bitfld.long 0x00 7. "ACC_C,Accuracy settings C" "0: Used for masking in calibration,1: Used for masking in normal conversion" bitfld.long 0x00 6. "ROUNDEN,Enable rounding in averaging" "0: Rounding of LSBs ignored in averaging,1: Rounding of LSBs used in averaging (Default)" newline bitfld.long 0x00 5. "MVECL,Mask Vt error correction logic" "0: Unmask the functionality of Vt error..,1: Mask the functionality of Vt error correction.." bitfld.long 0x00 4. "LDFAIL,Load calibrated values in fail condition" "0: Load calibrated values only if the..,1: Enable loading of calibrated values in fail.." newline bitfld.long 0x00 3. "ENX,Enable the CLPx operation" "0: VALUE_0,1: Enable (default)" bitfld.long 0x00 0.--2. "OPMODE,ADC Operation mode" "0: VALUE_000,1: VALUE_001,2: 10 bit (default for resolution = 10 bit ),3: VALUE_011,4: VALUE_100,5: VALUE_101,6: 13 bit (default for resolution = 12 bit)..,7: VALUE_111" group.long 0x3A4++0x03 line.long 0x00 "CALCFG1,Calibration Configuration 1" bitfld.long 0x00 29.--31. "ACC_DGAINL,Accuracy settings D" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "ACC_DOFF,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 20.--23. "ACC_DCLPX,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "ACC_DCLPS,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--15. "ACC_DCLP0,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "ACC_DCLP1,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "ACC_DCLP2,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "ACC_DCLP3,Accuracy settings D" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x3A8++0x03 line.long 0x00 "CALOFSGN,Offset and Gain Calibration" hexmask.long.word 0x00 16.--27. 1. "GAINCAL,Hard macro gain calibration value" hexmask.long.word 0x00 0.--15. 1. "OFFSCAL,Hard macro offset calibration value" group.long 0x3AC++0x03 line.long 0x00 "CALVAL0,Calibration Value 0" hexmask.long.byte 0x00 24.--30. 1. "CLPX,Calibration function generated value" hexmask.long.byte 0x00 16.--23. 1. "CLP1,Calibration function generated value" newline hexmask.long.byte 0x00 8.--14. 1. "CLP0,Calibration function generated value" hexmask.long.byte 0x00 0.--6. 1. "CLPS,Calibration function generated value" group.long 0x3B0++0x03 line.long 0x00 "CALVAL1,Calibration Value 1" hexmask.long.word 0x00 16.--25. 1. "CLP3,Calibration function generated value" hexmask.long.word 0x00 0.--8. 1. "CLP2,Calibration function generated value" rgroup.long 0x3B4++0x03 line.long 0x00 "ALTR,Alternate Result" hexmask.long.word 0x00 0.--14. 1. "RESULT,15 bit result in 15 bit mode (When CALCFG0[OPMODE] = 000 / 100 ) 14 bit result (valid bits [14:1] ) (when CALCFG0[OPMODE] = 101 ) 13 bit result (valid bits [14:2] ) (when CALCFG0[OPMODE] = 110 ) 12 bit result (valid bits [14:3] ) (when.." group.long 0x3B8++0x03 line.long 0x00 "AMSIO,Analog Miscellaneous In/Out" hexmask.long.byte 0x00 24.--31. 1. "IREFCTRL,Controls the iref_en_lv signal's assertion time" bitfld.long 0x00 23. "IREFCTEN,Enables iref_en_lv assertion control functionality" "0: iref_en_lv is asserted with smp_lv assertion,1: iref_en_lv is asserted as per IREFCTRL[7:0]" newline bitfld.long 0x00 22. "DISRST1,DISRST1 Disable cmp_rst1_lv signal" "0: Normal operation,1: cmp_rst1_lv always remains zero throughout.." bitfld.long 0x00 21. "DISRST2,Disable cmp_rst2_lv signal" "0: Normal operation,1: cmp_rst2_lv always remains zero throughout.." newline bitfld.long 0x00 20. "ENDYNBEV,Enable dynamic bit evaluation feature" "0,1" bitfld.long 0x00 16.--19. "CMPCTRL,CMPCTRL[3:0] Controls the phase duration of 4 phases in the evaluation period" "0: Single cycle phase,1: Two cycle phase,?..." newline bitfld.long 0x00 15. "ADCTMODE,ADC test mode (Expose mode) (RW)" "0: ADC in Normal mode (default),1: ADC in Expose mode (controls are taken from.." bitfld.long 0x00 14. "ABISTEN,Analog BIST mode enable (RW)" "0: Analog BIST control not available (default),1: Analog BIST control enabled (controls are.." newline rbitfld.long 0x00 8.--11. "AD_MISC_OUT,Shows the values of analog output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 7. "ENDBCALS,Enable dynamic bit evaluation feature for calibration and self-test Algorithm C" "0: Disabled (Default),1: VALUE_1" newline bitfld.long 0x00 6. "CONTROL,Resistor divider switch on/off control" "0: Auto-zero resistor divider switch off in..,1: Always on" bitfld.long 0x00 5. "HCE_PA1,High current enable - PA1" "0: Disabled (default),1: VALUE_1" newline bitfld.long 0x00 4. "HCE_PA2,High current enable - PA2" "0: Disabled (default),1: VALUE_1" bitfld.long 0x00 3. "HRE_CMP,High resolution enable - comparator" "0: Disabled (default),1: VALUE_1" newline bitfld.long 0x00 0.--2. "AD_MISC_IN,Values driven to analog hard block" "0: VALUE_000,?..." group.long 0x3BC++0x03 line.long 0x00 "BITPASEL,Bit Pattern Select" bitfld.long 0x00 30.--31. "PATSE15,Pattern select for bit C14" "0,1,2,3" bitfld.long 0x00 28.--29. "PATSE14,Pattern select for bit C13" "0,1,2,3" newline bitfld.long 0x00 26.--27. "PATSE13,Pattern select for bit C12" "0,1,2,3" bitfld.long 0x00 24.--25. "PATSE12,Pattern select for bit C11" "0,1,2,3" newline bitfld.long 0x00 22.--23. "PATSE11,Pattern select for bit CS" "0,1,2,3" bitfld.long 0x00 20.--21. "PATSE10,Pattern select for bit C10" "0,1,2,3" newline bitfld.long 0x00 18.--19. "PATSE9,Pattern select for bit C9" "0,1,2,3" bitfld.long 0x00 16.--17. "PATSE8,Pattern select for bit C8" "0,1,2,3" newline bitfld.long 0x00 14.--15. "PATSE7,Pattern select for bit C7" "0,1,2,3" bitfld.long 0x00 12.--13. "PATSE6,Pattern select for bit C6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "PATSE5,Pattern select for bit C5" "0,1,2,3" bitfld.long 0x00 8.--9. "PATSE4,Pattern select for bit C4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "PATSE3,Pattern select for bit C3" "0,1,2,3" bitfld.long 0x00 4.--5. "PATSE2,Pattern select for bit C2" "0,1,2,3" newline bitfld.long 0x00 2.--3. "PATSE1,Pattern select for bit C1" "0,1,2,3" bitfld.long 0x00 0.--1. "PATSE0,Pattern select for bit C0" "0: Pattern 0 selected (BITPAR0-> NRPHASE0..,1: Pattern 1 selected (BITPAR0-> NRPHASE1..,2: Pattern 2 selected (BITPAR1-> NRPHASE2..,3: Pattern 3 selected (BITPAR1-> NRPHASE3.." group.long 0x3C0++0x03 line.long 0x00 "BITPAR0,Bit Pattern 0" bitfld.long 0x00 28.--29. "NRPHASE1,Number of phases for pattern set 1" "?,1: Two-phase pattern,2: Three-phase pattern,3: Four-phase pattern (default)" bitfld.long 0x00 24.--27. "DUPHASE1,Duration of each phase for set 1" "0: Duration of phase is one cycle (default),1: Duration of phase is two cycles,?..." newline bitfld.long 0x00 20.--23. "CTRLVAL1B,Control Signal Value for control B for set 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CTRLVAL1A,Control Signal Value for control A for set 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--13. "NRPHASE0,Number of phases for pattern set 0" "?,1: Two-phase pattern,2: Three-phase pattern,3: Four-phase pattern (default)" bitfld.long 0x00 8.--11. "DUPHASE0,Duration of each phase for set 0" "0: Duration of phase is one cycle (default),1: Duration of phase is two cycles,?..." newline bitfld.long 0x00 4.--7. "CTRLVAL0B,Control Signal Value for control B for set 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CTRLVAL0A,Control Signal Value for control A for set 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x3C4++0x03 line.long 0x00 "BITPAR1,Bit Pattern 1" bitfld.long 0x00 28.--29. "NRPHASE3,Number of phases for pattern set 3" "?,1: Two-phase pattern,2: Three-phase pattern,3: Four-phase pattern (default)" bitfld.long 0x00 24.--27. "DUPHASE3,Duration of each phase for set 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "CTRLVAL3B,Control Signal Value for control B for set 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CTRLVAL3A,Control Signal Value for control A for set 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 12.--13. "NRPHASE2,Number of phases for pattern set 2" "?,1: Two-phase pattern,2: Three-phase pattern,3: Four-phase pattern (default)" bitfld.long 0x00 8.--11. "DUPHASE2,Duration of each phase for set 2" "0: Duration of phase is one cycle (default),1: Duration of phase is one cycles,?..." newline bitfld.long 0x00 4.--7. "CTRLVAL2B,Control Signal Value for control B for set 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CTRLVAL2A,Control Signal Value for control A for set 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x3D0++0x03 line.long 0x00 "TCR,Test Control" bitfld.long 0x00 24. "TST_ADC_PRES_EN,ADC Presample testmode enable" "0: Disabled (Default),1: VALUE_1" bitfld.long 0x00 16. "TST_CAL_EN,ADC Calibration enable" "0: Disabled (Default),1: VALUE_1" newline bitfld.long 0x00 11.--15. "TST_AD_ST_STEP,ADC self test step" "0: VALUE_00000,?..." bitfld.long 0x00 9.--10. "TST_AD_ST_ALG,ADC Self test algo select" "0: S self test(default),?,2: C self test,?..." newline bitfld.long 0x00 8. "TST_AD_ST_EN,ADC Self test enable" "0: Disabled (default),1: VALUE_1" hexmask.long.byte 0x00 1.--7. 1. "TST_AD_CH_SEL,ADC Channel select" newline bitfld.long 0x00 0. "TST_AD_CONT,Mode select in testmode" "0: One shot conversion.(default),1: scan mode/conteneous conversion" tree.end tree "SEMA42" repeat 2. (list 1. 2.) (list ad:0x44260000 ad:0x42450000) tree "SEMA42_$1" base $2 repeat 16. (strings "3" "2" "1" "0" "7" "6" "5" "4" "11" "10" "9" "8" "15" "14" "13" "12" )(list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF ) group.byte ($2+0x00)++0x00 line.byte 0x00 "GATE$1,Gate Register" bitfld.byte 0x00 0.--3. "GTFSM,Gate finite state machine" "0: The gate is unlocked (free),1: Domain 0 locked the gate,2: Domain 1 locked the gate,3: Domain 2 locked the gate,4: Domain 3 locked the gate,5: Domain 4 locked the gate,6: Domain 5 locked the gate,7: Domain 6 locked the gate,8: Domain 7 locked the gate,9: Domain 8 locked the gate,10: Domain 9 locked the gate,11: Domain 10 locked the gate,12: Domain 11 locked the gate,13: Domain 12 locked the gate,14: Domain 13 locked the gate,15: Domain 14 locked the gate" repeat.end repeat 16. (strings "19" "18" "17" "16" "23" "22" "21" "20" "27" "26" "25" "24" "31" "30" "29" "28" )(list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF ) group.byte ($2+0x10)++0x00 line.byte 0x00 "GATE$1,Gate Register" bitfld.byte 0x00 0.--3. "GTFSM,Gate finite state machine" "0: The gate is unlocked (free),1: Domain 0 locked the gate,2: Domain 1 locked the gate,3: Domain 2 locked the gate,4: Domain 3 locked the gate,5: Domain 4 locked the gate,6: Domain 5 locked the gate,7: Domain 6 locked the gate,8: Domain 7 locked the gate,9: Domain 8 locked the gate,10: Domain 9 locked the gate,11: Domain 10 locked the gate,12: Domain 11 locked the gate,13: Domain 12 locked the gate,14: Domain 13 locked the gate,15: Domain 14 locked the gate" repeat.end repeat 16. (strings "35" "34" "33" "32" "39" "38" "37" "36" "43" "42" "41" "40" "47" "46" "45" "44" )(list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF ) group.byte ($2+0x20)++0x00 line.byte 0x00 "GATE$1,Gate Register" bitfld.byte 0x00 0.--3. "GTFSM,Gate finite state machine" "0: The gate is unlocked (free),1: Domain 0 locked the gate,2: Domain 1 locked the gate,3: Domain 2 locked the gate,4: Domain 3 locked the gate,5: Domain 4 locked the gate,6: Domain 5 locked the gate,7: Domain 6 locked the gate,8: Domain 7 locked the gate,9: Domain 8 locked the gate,10: Domain 9 locked the gate,11: Domain 10 locked the gate,12: Domain 11 locked the gate,13: Domain 12 locked the gate,14: Domain 13 locked the gate,15: Domain 14 locked the gate" repeat.end repeat 16. (strings "51" "50" "49" "48" "55" "54" "53" "52" "59" "58" "57" "56" "63" "62" "61" "60" )(list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF ) group.byte ($2+0x30)++0x00 line.byte 0x00 "GATE$1,Gate Register" bitfld.byte 0x00 0.--3. "GTFSM,Gate finite state machine" "0: The gate is unlocked (free),1: Domain 0 locked the gate,2: Domain 1 locked the gate,3: Domain 2 locked the gate,4: Domain 3 locked the gate,5: Domain 4 locked the gate,6: Domain 5 locked the gate,7: Domain 6 locked the gate,8: Domain 7 locked the gate,9: Domain 8 locked the gate,10: Domain 9 locked the gate,11: Domain 10 locked the gate,12: Domain 11 locked the gate,13: Domain 12 locked the gate,14: Domain 13 locked the gate,15: Domain 14 locked the gate" repeat.end rgroup.word 0x42++0x01 line.word 0x00 "RSTGT_R,Reset Gate" bitfld.word 0x00 14.--15. "ROZ,ROZ" "0,1,2,3" bitfld.word 0x00 12.--13. "RSTGSM,Reset gate finite state machine" "0: Idle waiting for the first data pattern,1: Waiting for the second data pattern,2: The 2-write sequence has completed,?..." bitfld.word 0x00 8.--11. "RSTGMS,Reset gate domain" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.word.byte 0x00 0.--7. 1. "RSTGTN,Reset gate number" wgroup.word 0x42++0x01 line.word 0x00 "RSTGT_W,Reset Gate" hexmask.word.byte 0x00 8.--15. 1. "RSTGDP,Reset gate data pattern" hexmask.word.byte 0x00 0.--7. 1. "RSTGTN,Reset gate number" tree.end repeat.end tree.end tree "SFA (Signal Frequency Analyser)" base ad:0x44483000 group.long 0x00++0x03 line.long 0x00 "CTRL,Signal Frequency Analyser (SFA) Control" bitfld.long 0x00 31. "CUT_PIN_EN,CUT_PIN_EN" "0,1" bitfld.long 0x00 24. "CUT_SEL,CUT_SEL" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "CUT_PREDIV,CUT_PREDIV" bitfld.long 0x00 12. "TRIG_END_SEL,Signal MUX For Trigger Based Measurement End" "0,1" newline bitfld.long 0x00 8. "TRIG_START_SEL,Signal MUX For Trigger Based Measurement Start" "0,1" bitfld.long 0x00 6. "SFA_EN,SFA Enable" "0: The SFA is disabled,1: The SFA is enabled" newline bitfld.long 0x00 5. "SFA_IRQ_EN,SFA Interrupt Enable" "0: Interrupts are disabled,1: Interrupts are enabled" bitfld.long 0x00 4. "SFA_TRIG_MEAS_EN,SFA Triggered Measurement Enable" "0: The measurement will start by default with a..,1: The measurement will start after receiging a.." newline bitfld.long 0x00 3. "TRIG_END_POL,Trigger End Polarity" "0: Rising edge of TRIGER[TRIG_END_SEL] will end..,1: Falling edge of TRIGGER[TRIG_END_SEL] will.." bitfld.long 0x00 2. "TRIG_START_POL,Trigger Start Polarity" "0: Rising edge of TRIGGER[TRIG_START_SEL] will..,1: Falling edge of TRIGGER[TRIG_START_SEL] will.." newline bitfld.long 0x00 0.--1. "MODE,MEASUREMENT MODE" "0: Frequency measurement performed with REF..,1: Frequency measurement performed with REF..,2: CUT period measurement performed,3: Trigger based measurement performed" group.long 0x04++0x03 line.long 0x00 "CTRL_EXT,Signal Frequency Analyser (SFA) Control Extended" bitfld.long 0x00 0. "CUT_CLK_EN,CUT_CLK_EN" "0,1" group.long 0x08++0x03 line.long 0x00 "CNT_STAT,Signal Frequency Analyser Count Status Register" eventfld.long 0x00 4. "SFA_IRQ,SFA Interrupt Request" "0,1" rbitfld.long 0x00 3. "REF_CNT_TIMEOUT,Reference Counter Time Out" "0,1" newline rbitfld.long 0x00 2. "MEAS_STARTED,Measurement Started Flag" "0,1" rbitfld.long 0x00 1. "CUT_STOPPED,CUT_STOPPED" "0,1" newline rbitfld.long 0x00 0. "REF_STOPPED,REF_STOPPED" "0,1" rgroup.long 0x0C++0x03 line.long 0x00 "CUT_CNT,Signal Frequency Analyser Clock Under Test Counter" hexmask.long 0x00 0.--31. 1. "CUT_CNT,CUT_CNT" rgroup.long 0x10++0x03 line.long 0x00 "REF_CNT,Signal Frequency Analyser Reference Clock Counter" hexmask.long 0x00 0.--31. 1. "REF_CNT,REF_CNT" group.long 0x14++0x03 line.long 0x00 "CUT_TARGET,Signal Frequency Analyser Clock Under Test Target Count" hexmask.long 0x00 0.--31. 1. "CUT_TARGET,CUT_TARGET" group.long 0x18++0x03 line.long 0x00 "REF_TARGET,Signal Frequency Analyser Reference Clock Target Count" hexmask.long 0x00 0.--31. 1. "REF_TARGET,REF_TARGET" rgroup.long 0x1C++0x03 line.long 0x00 "REF_CNT_ST_SAVED,Signal Frequency Analyser Reference Clock Count Start Saved Register" hexmask.long 0x00 0.--31. 1. "REF_CNT_ST_SAVED,REF_CNT_ST_SAVED" rgroup.long 0x20++0x03 line.long 0x00 "REF_CNT_END_SAVED,Signal Frequency Analyser Reference Clock Count End Saved Register" hexmask.long 0x00 0.--31. 1. "REF_CNT_END_SAVED,REF_CNT_END_SAVED" tree.end tree "SPDIF (Sony/Philips Digital Interface)" base ad:0x42680000 group.long 0x00++0x03 line.long 0x00 "VERSION,Version control register" hexmask.long 0x00 0.--31. 1. "VERID,Version ID" group.long 0x10++0x03 line.long 0x00 "EXT_CTRL,External control register" bitfld.long 0x00 28. "RX_DPATH_RESET,Soft reset to the eARC Differential data Receiver" "0,1" bitfld.long 0x00 27. "TX_DPATH_RESET,Soft reset to the eARC Differentail data Transmitter" "0,1" bitfld.long 0x00 25. "SDMA_RD_REQ_DIS,SDMA RD REQ disable" "0,1" bitfld.long 0x00 24. "SDMA_WR_REQ_DIS,SDMA WR REQ disable" "0,1" newline bitfld.long 0x00 20. "EN_SPDIF_WAKEUP,Enable SPDIF wakeup interrupt" "0,1" hexmask.long.byte 0x00 8.--14. 1. "RX_FIFO_WMARK,Audio Receive FIFO Watermark Level" bitfld.long 0x00 7. "BYPASS_SPDIF_CDR,Bypass CDR module input" "0,1" hexmask.long.byte 0x00 0.--6. 1. "TX_FIFO_WMARK,Audio Transmit FIFO Watermark Level" group.long 0x14++0x03 line.long 0x00 "EXT_CTRL_SET,External control register" bitfld.long 0x00 28. "RX_DPATH_RESET,Soft reset to the eARC Differential data Receiver" "0,1" bitfld.long 0x00 27. "TX_DPATH_RESET,Soft reset to the eARC Differentail data Transmitter" "0,1" bitfld.long 0x00 25. "SDMA_RD_REQ_DIS,SDMA RD REQ disable" "0,1" bitfld.long 0x00 24. "SDMA_WR_REQ_DIS,SDMA WR REQ disable" "0,1" newline bitfld.long 0x00 20. "EN_SPDIF_WAKEUP,Enable SPDIF wakeup interrupt" "0,1" hexmask.long.byte 0x00 8.--14. 1. "RX_FIFO_WMARK,Audio Receive FIFO Watermark Level" bitfld.long 0x00 7. "BYPASS_SPDIF_CDR,Bypass CDR module input" "0,1" hexmask.long.byte 0x00 0.--6. 1. "TX_FIFO_WMARK,Audio Transmit FIFO Watermark Level" group.long 0x18++0x03 line.long 0x00 "EXT_CTRL_CLR,External control register" eventfld.long 0x00 28. "RX_DPATH_RESET,Soft reset to the eARC Differential data Receiver" "0,1" eventfld.long 0x00 27. "TX_DPATH_RESET,Soft reset to the eARC Differentail data Transmitter" "0,1" eventfld.long 0x00 25. "SDMA_RD_REQ_DIS,SDMA RD REQ disable" "0,1" eventfld.long 0x00 24. "SDMA_WR_REQ_DIS,SDMA WR REQ disable" "0,1" newline eventfld.long 0x00 20. "EN_SPDIF_WAKEUP,Enable SPDIF wakeup interrupt" "0,1" hexmask.long.byte 0x00 8.--14. 1. "RX_FIFO_WMARK,Audio Receive FIFO Watermark Level" eventfld.long 0x00 7. "BYPASS_SPDIF_CDR,Bypass CDR module input" "0,1" hexmask.long.byte 0x00 0.--6. 1. "TX_FIFO_WMARK,Audio Transmit FIFO Watermark Level" group.long 0x1C++0x03 line.long 0x00 "EXT_CTRL_TOG,External control register" bitfld.long 0x00 28. "RX_DPATH_RESET,Soft reset to the eARC Differential data Receiver" "0,1" bitfld.long 0x00 27. "TX_DPATH_RESET,Soft reset to the eARC Differentail data Transmitter" "0,1" bitfld.long 0x00 25. "SDMA_RD_REQ_DIS,SDMA RD REQ disable" "0,1" bitfld.long 0x00 24. "SDMA_WR_REQ_DIS,SDMA WR REQ disable" "0,1" newline bitfld.long 0x00 20. "EN_SPDIF_WAKEUP,Enable SPDIF wakeup interrupt" "0,1" hexmask.long.byte 0x00 8.--14. 1. "RX_FIFO_WMARK,Audio Receive FIFO Watermark Level" bitfld.long 0x00 7. "BYPASS_SPDIF_CDR,Bypass CDR module input" "0,1" hexmask.long.byte 0x00 0.--6. 1. "TX_FIFO_WMARK,Audio Transmit FIFO Watermark Level" group.long 0x20++0x03 line.long 0x00 "EXT_STATUS,External Status register" bitfld.long 0x00 22. "PREV_UD_0,Last User data received was all 0" "0,1" rbitfld.long 0x00 21. "TX_PIPE_EMPTY,Indicates TX pipe status" "0,1" hexmask.long.byte 0x00 8.--15. 1. "NO_RX_FIFO_ENTRIES,RX FIFO entries" hexmask.long.byte 0x00 0.--7. 1. "NO_TX_FIFO_ENTRIES,TX FIFO entries" group.long 0x24++0x03 line.long 0x00 "EXT_STATUS_SET,External Status register" bitfld.long 0x00 22. "PREV_UD_0,Last User data received was all 0" "0,1" rbitfld.long 0x00 21. "TX_PIPE_EMPTY,Indicates TX pipe status" "0,1" hexmask.long.byte 0x00 8.--15. 1. "NO_RX_FIFO_ENTRIES,RX FIFO entries" hexmask.long.byte 0x00 0.--7. 1. "NO_TX_FIFO_ENTRIES,TX FIFO entries" group.long 0x28++0x03 line.long 0x00 "EXT_STATUS_CLR,External Status register" eventfld.long 0x00 22. "PREV_UD_0,Last User data received was all 0" "0,1" eventfld.long 0x00 21. "TX_PIPE_EMPTY,Indicates TX pipe status" "0,1" hexmask.long.byte 0x00 8.--15. 1. "NO_RX_FIFO_ENTRIES,RX FIFO entries" hexmask.long.byte 0x00 0.--7. 1. "NO_TX_FIFO_ENTRIES,TX FIFO entries" group.long 0x2C++0x03 line.long 0x00 "EXT_STATUS_TOG,External Status register" bitfld.long 0x00 22. "PREV_UD_0,Last User data received was all 0" "0,1" rbitfld.long 0x00 21. "TX_PIPE_EMPTY,Indicates TX pipe status" "0,1" hexmask.long.byte 0x00 8.--15. 1. "NO_RX_FIFO_ENTRIES,RX FIFO entries" hexmask.long.byte 0x00 0.--7. 1. "NO_TX_FIFO_ENTRIES,TX FIFO entries" group.long 0x30++0x03 line.long 0x00 "EXT_IER0,Interrupt enables for interrupt 0" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_0,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_0,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_0,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_0,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_0,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_0,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_0,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_0,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_0,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_0,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_0,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_0,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_0,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_0,Enable for New channel status block received interrupt" "0,1" group.long 0x34++0x03 line.long 0x00 "EXT_IER0_SET,Interrupt enables for interrupt 0" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_0,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_0,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_0,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_0,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_0,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_0,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_0,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_0,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_0,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_0,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_0,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_0,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_0,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_0,Enable for New channel status block received interrupt" "0,1" group.long 0x38++0x03 line.long 0x00 "EXT_IER0_CLR,Interrupt enables for interrupt 0" eventfld.long 0x00 24. "SPDIF_WAKEUP_IE_0,SPDIF Wakeup interrupt enable" "0,1" eventfld.long 0x00 23. "NEW_BLK_RCVD_IE_0,New block of data was received" "0,1" eventfld.long 0x00 22. "CS_UD_OFLOW_IE_0,Channel status or used data could not be stored" "0,1" eventfld.long 0x00 20. "UNEXP_PRE_REC_IE_0,Interrupt enable for Unexpected preamble received" "0,1" newline eventfld.long 0x00 19. "B_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame B preamble mismatch received" "0,1" eventfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" eventfld.long 0x00 17. "PREAMBLE_MATCH_IE_0,Interrupt enable for preamble match received" "0,1" eventfld.long 0x00 16. "RX_DATA_BME_ERR_IE_0,Bi-phase mark encoding error" "0,1" newline eventfld.long 0x00 15. "DMA_WR_REQ_IE_0,Request to write data to FIFO" "0,1" eventfld.long 0x00 14. "DMA_RD_REQ_IE_0,Request to read data from FIFO" "0,1" eventfld.long 0x00 9. "RX_NO_DATA_REC_IE_0,Indicates no data is received" "0,1" eventfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_0,Receive FIFO overflow error interrupt enable" "0,1" newline eventfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_0,Preamble mismatch interrupt enable" "0,1" eventfld.long 0x00 2. "MUTE_IE_0,Enable for Mute detected interrupt" "0,1" eventfld.long 0x00 1. "UD_IE_0,Enable for user data received interrupt" "0,1" eventfld.long 0x00 0. "NEW_CS_IE_0,Enable for New channel status block received interrupt" "0,1" group.long 0x3C++0x03 line.long 0x00 "EXT_IER0_TOG,Interrupt enables for interrupt 0" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_0,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_0,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_0,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_0,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_0,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_0,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_0,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_0,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_0,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_0,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_0,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_0,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_0,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_0,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_0,Enable for New channel status block received interrupt" "0,1" group.long 0x40++0x03 line.long 0x00 "EXT_IER1,Interrupt enables for interrupt 1" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_1,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_1,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_1,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_1,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_1,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_1,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_1,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_1,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_1,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_1,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_1,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_1,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_1,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_1,Enable for New channel status block received interrupt" "0,1" group.long 0x44++0x03 line.long 0x00 "EXT_IER1_SET,Interrupt enables for interrupt 1" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_1,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_1,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_1,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_1,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_1,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_1,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_1,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_1,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_1,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_1,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_1,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_1,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_1,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_1,Enable for New channel status block received interrupt" "0,1" group.long 0x48++0x03 line.long 0x00 "EXT_IER1_CLR,Interrupt enables for interrupt 1" eventfld.long 0x00 24. "SPDIF_WAKEUP_IE_1,SPDIF Wakeup interrupt enable" "0,1" eventfld.long 0x00 23. "NEW_BLK_RCVD_IE_1,New block of data was received" "0,1" eventfld.long 0x00 22. "CS_UD_OFLOW_IE_1,Channel status or used data could not be stored" "0,1" eventfld.long 0x00 20. "UNEXP_PRE_REC_IE_1,Interrupt enable for Unexpected preamble received" "0,1" newline eventfld.long 0x00 19. "B_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame B preamble mismatch received" "0,1" eventfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" eventfld.long 0x00 17. "PREAMBLE_MATCH_IE_1,Interrupt enable for preamble match received" "0,1" eventfld.long 0x00 16. "RX_DATA_BME_ERR_IE_1,Bi-phase mark encoding error" "0,1" newline eventfld.long 0x00 15. "DMA_WR_REQ_IE_1,Request to write data to FIFO" "0,1" eventfld.long 0x00 14. "DMA_RD_REQ_IE_1,Request to read data from FIFO" "0,1" eventfld.long 0x00 9. "RX_NO_DATA_REC_IE_1,Indicates no data is received" "0,1" eventfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_1,Receive FIFO overflow error interrupt enable" "0,1" newline eventfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_1,Preamble mismatch interrupt enable" "0,1" eventfld.long 0x00 2. "MUTE_IE_1,Enable for Mute detected interrupt" "0,1" eventfld.long 0x00 1. "UD_IE_1,Enable for user data received interrupt" "0,1" eventfld.long 0x00 0. "NEW_CS_IE_1,Enable for New channel status block received interrupt" "0,1" group.long 0x4C++0x03 line.long 0x00 "EXT_IER1_TOG,Interrupt enables for interrupt 1" bitfld.long 0x00 24. "SPDIF_WAKEUP_IE_1,SPDIF Wakeup interrupt enable" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD_IE_1,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_UD_OFLOW_IE_1,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC_IE_1,Interrupt enable for Unexpected preamble received" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame B preamble mismatch received" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH_IE_1,Interrupt enable for sub-frame M/W preamble mismatch received" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_IE_1,Interrupt enable for preamble match received" "0,1" bitfld.long 0x00 16. "RX_DATA_BME_ERR_IE_1,Bi-phase mark encoding error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ_IE_1,Request to write data to FIFO" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ_IE_1,Request to read data from FIFO" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC_IE_1,Indicates no data is received" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR_IE_1,Receive FIFO overflow error interrupt enable" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH_IE_1,Preamble mismatch interrupt enable" "0,1" bitfld.long 0x00 2. "MUTE_IE_1,Enable for Mute detected interrupt" "0,1" bitfld.long 0x00 1. "UD_IE_1,Enable for user data received interrupt" "0,1" bitfld.long 0x00 0. "NEW_CS_IE_1,Enable for New channel status block received interrupt" "0,1" group.long 0x50++0x03 line.long 0x00 "EXT_ISR,External Interrupt Status register" eventfld.long 0x00 24. "SPDIF_WAKEUP_REC,SPDIF Wakeup received" "0,1" eventfld.long 0x00 23. "NEW_BLK_RCVD,New block of data was received" "0,1" eventfld.long 0x00 22. "CS_OR_UD_OFLOW,Channel status or used data could not be stored" "0,1" eventfld.long 0x00 20. "UNEXP_PRE_REC,Set when SPDIF preamble was received after unexpected number of input bits" "0,1" newline eventfld.long 0x00 19. "B_PRE_MISMATCH,Set when SPDIF B preamble has an error" "0,1" eventfld.long 0x00 18. "M_W_PRE_MISMATCH,Set when SPDIF preamble of M/W has an error" "0,1" eventfld.long 0x00 17. "PREAMBLE_MATCH_INT,Interrupt to indicate PA PB / DTC CD preamble match was detected" "0,1" eventfld.long 0x00 16. "RX_BME_BIT_ERR,Set when RX BME data has an error" "0,1" newline eventfld.long 0x00 15. "DMA_WR_REQ,Set when DMA write request is asserted" "0,1" eventfld.long 0x00 14. "DMA_RD_REQ,Set when DMA read request is asserted" "0,1" eventfld.long 0x00 9. "RX_NO_DATA_REC,No data is received for 1us" "0,1" eventfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR,Receive FIFO overflow error interrupt" "0,1" newline eventfld.long 0x00 5. "PREAMBLE_MISMATCH,Preamble mismatch interrupt" "0,1" eventfld.long 0x00 2. "MUTE_DET,Interrupt to indicate HW mute bit was detected" "0,1" eventfld.long 0x00 1. "RX_USR_DATA,Received User data Information" "0,1" eventfld.long 0x00 0. "RX_NEW_CH_STAT,Received new channel status block" "0,1" group.long 0x54++0x03 line.long 0x00 "EXT_ISR_SET,External Interrupt Status register" bitfld.long 0x00 24. "SPDIF_WAKEUP_REC,SPDIF Wakeup received" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_OR_UD_OFLOW,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC,Set when SPDIF preamble was received after unexpected number of input bits" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH,Set when SPDIF B preamble has an error" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH,Set when SPDIF preamble of M/W has an error" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_INT,Interrupt to indicate PA PB / DTC CD preamble match was detected" "0,1" bitfld.long 0x00 16. "RX_BME_BIT_ERR,Set when RX BME data has an error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ,Set when DMA write request is asserted" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ,Set when DMA read request is asserted" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC,No data is received for 1us" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR,Receive FIFO overflow error interrupt" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH,Preamble mismatch interrupt" "0,1" bitfld.long 0x00 2. "MUTE_DET,Interrupt to indicate HW mute bit was detected" "0,1" bitfld.long 0x00 1. "RX_USR_DATA,Received User data Information" "0,1" bitfld.long 0x00 0. "RX_NEW_CH_STAT,Received new channel status block" "0,1" group.long 0x58++0x03 line.long 0x00 "EXT_ISR_CLR,External Interrupt Status register" eventfld.long 0x00 24. "SPDIF_WAKEUP_REC,SPDIF Wakeup received" "0,1" eventfld.long 0x00 23. "NEW_BLK_RCVD,New block of data was received" "0,1" eventfld.long 0x00 22. "CS_OR_UD_OFLOW,Channel status or used data could not be stored" "0,1" eventfld.long 0x00 20. "UNEXP_PRE_REC,Set when SPDIF preamble was received after unexpected number of input bits" "0,1" newline eventfld.long 0x00 19. "B_PRE_MISMATCH,Set when SPDIF B preamble has an error" "0,1" eventfld.long 0x00 18. "M_W_PRE_MISMATCH,Set when SPDIF preamble of M/W has an error" "0,1" eventfld.long 0x00 17. "PREAMBLE_MATCH_INT,Interrupt to indicate PA PB / DTC CD preamble match was detected" "0,1" eventfld.long 0x00 16. "RX_BME_BIT_ERR,Set when RX BME data has an error" "0,1" newline eventfld.long 0x00 15. "DMA_WR_REQ,Set when DMA write request is asserted" "0,1" eventfld.long 0x00 14. "DMA_RD_REQ,Set when DMA read request is asserted" "0,1" eventfld.long 0x00 9. "RX_NO_DATA_REC,No data is received for 1us" "0,1" eventfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR,Receive FIFO overflow error interrupt" "0,1" newline eventfld.long 0x00 5. "PREAMBLE_MISMATCH,Preamble mismatch interrupt" "0,1" eventfld.long 0x00 2. "MUTE_DET,Interrupt to indicate HW mute bit was detected" "0,1" eventfld.long 0x00 1. "RX_USR_DATA,Received User data Information" "0,1" eventfld.long 0x00 0. "RX_NEW_CH_STAT,Received new channel status block" "0,1" group.long 0x5C++0x03 line.long 0x00 "EXT_ISR_TOG,External Interrupt Status register" bitfld.long 0x00 24. "SPDIF_WAKEUP_REC,SPDIF Wakeup received" "0,1" bitfld.long 0x00 23. "NEW_BLK_RCVD,New block of data was received" "0,1" bitfld.long 0x00 22. "CS_OR_UD_OFLOW,Channel status or used data could not be stored" "0,1" bitfld.long 0x00 20. "UNEXP_PRE_REC,Set when SPDIF preamble was received after unexpected number of input bits" "0,1" newline bitfld.long 0x00 19. "B_PRE_MISMATCH,Set when SPDIF B preamble has an error" "0,1" bitfld.long 0x00 18. "M_W_PRE_MISMATCH,Set when SPDIF preamble of M/W has an error" "0,1" bitfld.long 0x00 17. "PREAMBLE_MATCH_INT,Interrupt to indicate PA PB / DTC CD preamble match was detected" "0,1" bitfld.long 0x00 16. "RX_BME_BIT_ERR,Set when RX BME data has an error" "0,1" newline bitfld.long 0x00 15. "DMA_WR_REQ,Set when DMA write request is asserted" "0,1" bitfld.long 0x00 14. "DMA_RD_REQ,Set when DMA read request is asserted" "0,1" bitfld.long 0x00 9. "RX_NO_DATA_REC,No data is received for 1us" "0,1" bitfld.long 0x00 6. "FIFO_OFLOW_UFLOW_ERR,Receive FIFO overflow error interrupt" "0,1" newline bitfld.long 0x00 5. "PREAMBLE_MISMATCH,Preamble mismatch interrupt" "0,1" bitfld.long 0x00 2. "MUTE_DET,Interrupt to indicate HW mute bit was detected" "0,1" bitfld.long 0x00 1. "RX_USR_DATA,Received User data Information" "0,1" bitfld.long 0x00 0. "RX_NEW_CH_STAT,Received new channel status block" "0,1" rgroup.long 0xA8++0x03 line.long 0x00 "DPATH_STATUS,Audio XCVR datapath status" hexmask.long.byte 0x00 8.--15. 1. "TX_FRM_CNT,Count of transmitted frames in a block" hexmask.long.byte 0x00 0.--7. 1. "RX_FRM_CNT,Count of received frames in a block" group.long 0xB0++0x03 line.long 0x00 "CLK_CTRL,Clock control register" hexmask.long.word 0x00 0.--9. 1. "CLKDIV,Clock divider value" group.long 0x180++0x03 line.long 0x00 "RX_DATAPATH_CTRL,Data path control register" bitfld.long 0x00 30.--31. "FSM,IEC60958-1 Frame Synchronization Mode" "0,1,2,3" bitfld.long 0x00 25. "FMT_CHG_MODE,Format change detected" "0,1" bitfld.long 0x00 24. "FMT_CHG_CTRL,Format Change detection control" "0,1" bitfld.long 0x00 23. "MUTE_MODE,Mute mode control" "0,1" newline bitfld.long 0x00 22. "MUTE_CTRL,M0+ mute request" "0,1" bitfld.long 0x00 20. "DTS_CDS,Enable DTS CD 14 preamble search" "0,1" bitfld.long 0x00 19. "PABS,Enable preamble search" "0,1" bitfld.long 0x00 16.--18. "SPDIF_TGL_CNT,SPDIF wakeup source toggle count" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 14.--15. "RX_DATA_FMT,Indicates format of data stored in memory" "0,1,2,3" bitfld.long 0x00 13. "DIS_B_PRE_ERR_CHK,RX_DATAPATH: Disable B preamble error check" "0,1" bitfld.long 0x00 12. "CLR_RX_FIFO,Clear Receive FIFO" "0,1" bitfld.long 0x00 11. "CSA,Channel Status Acknowledge" "0,1" newline bitfld.long 0x00 10. "UDA,User Data Acknowledge" "0,1" bitfld.long 0x00 9. "CSR,Channel Status reset" "0,1" bitfld.long 0x00 8. "UDR,User data reset" "0,1" bitfld.long 0x00 7. "EN_PARITY_CALC,Enable Parity calculation" "0,1" newline bitfld.long 0x00 6. "STORE_FMT,Receive Data store format" "0,1" bitfld.long 0x00 5. "RST_PKT_CNT_FIFO,Resets the packet count fifo" "0,1" bitfld.long 0x00 2. "DIS_NO_DATA_REC_CHK,RX_DATAPATH: Disable no data received pipe clear" "0,1" bitfld.long 0x00 1. "DIS_PRE_ERR_CHK,RX_DATAPATH: Disable preamble error check" "0,1" newline rbitfld.long 0x00 0. "PAPB_FIFO_STATUS,This bit is an empty fifo indicator for PaPb match" "0,1" group.long 0x184++0x03 line.long 0x00 "RX_DATAPATH_CTRL_SET,Data path control register" bitfld.long 0x00 30.--31. "FSM,IEC60958-1 Frame Synchronization Mode" "0,1,2,3" bitfld.long 0x00 25. "FMT_CHG_MODE,Format change detected" "0,1" bitfld.long 0x00 24. "FMT_CHG_CTRL,Format Change detection control" "0,1" bitfld.long 0x00 23. "MUTE_MODE,Mute mode control" "0,1" newline bitfld.long 0x00 22. "MUTE_CTRL,M0+ mute request" "0,1" bitfld.long 0x00 20. "DTS_CDS,Enable DTS CD 14 preamble search" "0,1" bitfld.long 0x00 19. "PABS,Enable preamble search" "0,1" bitfld.long 0x00 16.--18. "SPDIF_TGL_CNT,SPDIF wakeup source toggle count" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 14.--15. "RX_DATA_FMT,Indicates format of data stored in memory" "0,1,2,3" bitfld.long 0x00 13. "DIS_B_PRE_ERR_CHK,RX_DATAPATH: Disable B preamble error check" "0,1" bitfld.long 0x00 12. "CLR_RX_FIFO,Clear Receive FIFO" "0,1" bitfld.long 0x00 11. "CSA,Channel Status Acknowledge" "0,1" newline bitfld.long 0x00 10. "UDA,User Data Acknowledge" "0,1" bitfld.long 0x00 9. "CSR,Channel Status reset" "0,1" bitfld.long 0x00 8. "UDR,User data reset" "0,1" bitfld.long 0x00 7. "EN_PARITY_CALC,Enable Parity calculation" "0,1" newline bitfld.long 0x00 6. "STORE_FMT,Receive Data store format" "0,1" bitfld.long 0x00 5. "RST_PKT_CNT_FIFO,Resets the packet count fifo" "0,1" bitfld.long 0x00 2. "DIS_NO_DATA_REC_CHK,RX_DATAPATH: Disable no data received pipe clear" "0,1" bitfld.long 0x00 1. "DIS_PRE_ERR_CHK,RX_DATAPATH: Disable preamble error check" "0,1" newline rbitfld.long 0x00 0. "PAPB_FIFO_STATUS,This bit is an empty fifo indicator for PaPb match" "0,1" group.long 0x188++0x03 line.long 0x00 "RX_DATAPATH_CTRL_CLR,Data path control register" eventfld.long 0x00 30.--31. "FSM,IEC60958-1 Frame Synchronization Mode" "0,1,2,3" eventfld.long 0x00 25. "FMT_CHG_MODE,Format change detected" "0,1" eventfld.long 0x00 24. "FMT_CHG_CTRL,Format Change detection control" "0,1" eventfld.long 0x00 23. "MUTE_MODE,Mute mode control" "0,1" newline eventfld.long 0x00 22. "MUTE_CTRL,M0+ mute request" "0,1" eventfld.long 0x00 20. "DTS_CDS,Enable DTS CD 14 preamble search" "0,1" eventfld.long 0x00 19. "PABS,Enable preamble search" "0,1" eventfld.long 0x00 16.--18. "SPDIF_TGL_CNT,SPDIF wakeup source toggle count" "0,1,2,3,4,5,6,7" newline eventfld.long 0x00 14.--15. "RX_DATA_FMT,Indicates format of data stored in memory" "0,1,2,3" eventfld.long 0x00 13. "DIS_B_PRE_ERR_CHK,RX_DATAPATH: Disable B preamble error check" "0,1" eventfld.long 0x00 12. "CLR_RX_FIFO,Clear Receive FIFO" "0,1" eventfld.long 0x00 11. "CSA,Channel Status Acknowledge" "0,1" newline eventfld.long 0x00 10. "UDA,User Data Acknowledge" "0,1" eventfld.long 0x00 9. "CSR,Channel Status reset" "0,1" eventfld.long 0x00 8. "UDR,User data reset" "0,1" eventfld.long 0x00 7. "EN_PARITY_CALC,Enable Parity calculation" "0,1" newline eventfld.long 0x00 6. "STORE_FMT,Receive Data store format" "0,1" eventfld.long 0x00 5. "RST_PKT_CNT_FIFO,Resets the packet count fifo" "0,1" eventfld.long 0x00 2. "DIS_NO_DATA_REC_CHK,RX_DATAPATH: Disable no data received pipe clear" "0,1" eventfld.long 0x00 1. "DIS_PRE_ERR_CHK,RX_DATAPATH: Disable preamble error check" "0,1" newline eventfld.long 0x00 0. "PAPB_FIFO_STATUS,This bit is an empty fifo indicator for PaPb match" "0,1" group.long 0x18C++0x03 line.long 0x00 "RX_DATAPATH_CTRL_TOG,Data path control register" bitfld.long 0x00 30.--31. "FSM,IEC60958-1 Frame Synchronization Mode" "0,1,2,3" bitfld.long 0x00 25. "FMT_CHG_MODE,Format change detected" "0,1" bitfld.long 0x00 24. "FMT_CHG_CTRL,Format Change detection control" "0,1" bitfld.long 0x00 23. "MUTE_MODE,Mute mode control" "0,1" newline bitfld.long 0x00 22. "MUTE_CTRL,M0+ mute request" "0,1" bitfld.long 0x00 20. "DTS_CDS,Enable DTS CD 14 preamble search" "0,1" bitfld.long 0x00 19. "PABS,Enable preamble search" "0,1" bitfld.long 0x00 16.--18. "SPDIF_TGL_CNT,SPDIF wakeup source toggle count" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 14.--15. "RX_DATA_FMT,Indicates format of data stored in memory" "0,1,2,3" bitfld.long 0x00 13. "DIS_B_PRE_ERR_CHK,RX_DATAPATH: Disable B preamble error check" "0,1" bitfld.long 0x00 12. "CLR_RX_FIFO,Clear Receive FIFO" "0,1" bitfld.long 0x00 11. "CSA,Channel Status Acknowledge" "0,1" newline bitfld.long 0x00 10. "UDA,User Data Acknowledge" "0,1" bitfld.long 0x00 9. "CSR,Channel Status reset" "0,1" bitfld.long 0x00 8. "UDR,User data reset" "0,1" bitfld.long 0x00 7. "EN_PARITY_CALC,Enable Parity calculation" "0,1" newline bitfld.long 0x00 6. "STORE_FMT,Receive Data store format" "0,1" bitfld.long 0x00 5. "RST_PKT_CNT_FIFO,Resets the packet count fifo" "0,1" bitfld.long 0x00 2. "DIS_NO_DATA_REC_CHK,RX_DATAPATH: Disable no data received pipe clear" "0,1" bitfld.long 0x00 1. "DIS_PRE_ERR_CHK,RX_DATAPATH: Disable preamble error check" "0,1" newline rbitfld.long 0x00 0. "PAPB_FIFO_STATUS,This bit is an empty fifo indicator for PaPb match" "0,1" repeat 6. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x190)++0x03 line.long 0x00 "RX_CS_DATA_BITS_[$1],Channel staus bits $1" hexmask.long 0x00 0.--31. 1. "CS_DATA,Channel Status bits" repeat.end repeat 6. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x1A8)++0x03 line.long 0x00 "RX_USER_DATA_BITS_[$1],User data bits $1" hexmask.long 0x00 0.--31. 1. "U_DATA,User data bits" repeat.end group.long 0x1C0++0x03 line.long 0x00 "RX_DPATH_CNTR_CTRL,Receive Datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x1C4++0x03 line.long 0x00 "RX_DPATH_CNTR_CTRL_SET,Receive Datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x1C8++0x03 line.long 0x00 "RX_DPATH_CNTR_CTRL_CLR,Receive Datapath counter control register" eventfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" eventfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" eventfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" eventfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x1CC++0x03 line.long 0x00 "RX_DPATH_CNTR_CTRL_TOG,Receive Datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" rgroup.long 0x1D0++0x03 line.long 0x00 "RX_DPATH_TSCR,Receive Datapath Timestamp Counter Register" hexmask.long 0x00 0.--31. 1. "CVAL,Timestamp counter value" rgroup.long 0x1D4++0x03 line.long 0x00 "RX_DPATH_BCR,Receive Datapath Bit counter register" hexmask.long 0x00 0.--31. 1. "CVAL,Bit count value" rgroup.long 0x1D8++0x03 line.long 0x00 "RX_DPATH_BCTR,Receive datapath Bit count timestamp register" hexmask.long 0x00 0.--31. 1. "BCT_VAL,Bit count timestamp value" rgroup.long 0x1DC++0x03 line.long 0x00 "RX_DPATH_BCRR,Receive datapath Bit read timestamp register" hexmask.long 0x00 0.--31. 1. "BCT_VAL,Bit count timestamp value" group.long 0x1E0++0x03 line.long 0x00 "PRE_MATCH_VAL,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "PB_VAL,Preamble PB value" group.long 0x1E4++0x03 line.long 0x00 "PRE_MATCH_VAL_SET,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "PB_VAL,Preamble PB value" group.long 0x1E8++0x03 line.long 0x00 "PRE_MATCH_VAL_CLR,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "PB_VAL,Preamble PB value" group.long 0x1EC++0x03 line.long 0x00 "PRE_MATCH_VAL_TOG,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "PB_VAL,Preamble PB value" group.long 0x1F0++0x03 line.long 0x00 "DTS_PRE_MATCH_VAL,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "DTS_PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "DTS_PB_VAL,Preamble PB value" group.long 0x1F4++0x03 line.long 0x00 "DTS_PRE_MATCH_VAL_SET,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "DTS_PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "DTS_PB_VAL,Preamble PB value" group.long 0x1F8++0x03 line.long 0x00 "DTS_PRE_MATCH_VAL_CLR,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "DTS_PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "DTS_PB_VAL,Preamble PB value" group.long 0x1FC++0x03 line.long 0x00 "DTS_PRE_MATCH_VAL_TOG,Preamble match value register" hexmask.long.word 0x00 16.--31. 1. "DTS_PA_VAL,Preamble PA value" hexmask.long.word 0x00 0.--15. 1. "DTS_PB_VAL,Preamble PB value" group.long 0x200++0x03 line.long 0x00 "RX_DPATH_PRE_ERR,Error count for IEC60958-1 Block Synchronization" bitfld.long 0x00 31. "CLEAR,Clear bit for error counter" "0,1" hexmask.long.word 0x00 0.--15. 1. "PRE_ERRS,Preamble Error counter" group.long 0x204++0x03 line.long 0x00 "RX_DPATH_PARITY_ERR,Parity Error count for IEC60958-1 Blocks" bitfld.long 0x00 31. "CLEAR,Clear bit for error counter" "0,1" hexmask.long.word 0x00 0.--15. 1. "PRE_ERRS,Preamble Error counter" rgroup.long 0x210++0x03 line.long 0x00 "RX_DPATH_PKT_CNT,Receive Data packet count" hexmask.long 0x00 0.--30. 1. "VAL,Data packet counter" rgroup.long 0x218++0x03 line.long 0x00 "PRE_MATCH_OFFSET,Preamble match offset value register" hexmask.long 0x00 0.--31. 1. "PA_OFFSET,Sample count value for PA offset match" group.long 0x220++0x03 line.long 0x00 "TX_DATAPATH_CTRL,Transmit Data path control register" bitfld.long 0x00 30.--31. "TM_NO_PRE_BME,Internal test mode signal" "0,1,2,3" bitfld.long 0x00 17. "SPDIF_LOOPBACK_EN,Enabled internal SPDIF loopback for test mode" "0,1" bitfld.long 0x00 14. "STRT_DATA_TX,Once Comma pattern is successively received and heartbeat is detected start transmit of data" "0,1" bitfld.long 0x00 12.--13. "TX_FORMAT,Transmit data format" "0,1,2,3" newline bitfld.long 0x00 11. "FRM_FMT,Frame format of input data" "0,1" bitfld.long 0x00 7. "EN_PREAMBLE,Enable preamble insertion" "0,1" bitfld.long 0x00 6. "EN_PARITY,Enable parity insertion" "0,1" bitfld.long 0x00 5. "FRM_VLD,Valid bit value" "0,1" newline bitfld.long 0x00 4. "VLD_MOD,Enable Valid bit insertion" "0,1" bitfld.long 0x00 3. "UD_MOD,Enable User Data insertion" "0,1" bitfld.long 0x00 2. "CS_MOD,Enable Channel Status insertion" "0,1" bitfld.long 0x00 1. "UD_ACK,User Data ACK" "0,1" newline bitfld.long 0x00 0. "CS_ACK,Channel Status ACK" "0,1" group.long 0x224++0x03 line.long 0x00 "TX_DATAPATH_CTRL_SET,Transmit Data path control register" bitfld.long 0x00 30.--31. "TM_NO_PRE_BME,Internal test mode signal" "0,1,2,3" bitfld.long 0x00 17. "SPDIF_LOOPBACK_EN,Enabled internal SPDIF loopback for test mode" "0,1" bitfld.long 0x00 14. "STRT_DATA_TX,Once Comma pattern is successively received and heartbeat is detected start transmit of data" "0,1" bitfld.long 0x00 12.--13. "TX_FORMAT,Transmit data format" "0,1,2,3" newline bitfld.long 0x00 11. "FRM_FMT,Frame format of input data" "0,1" bitfld.long 0x00 7. "EN_PREAMBLE,Enable preamble insertion" "0,1" bitfld.long 0x00 6. "EN_PARITY,Enable parity insertion" "0,1" bitfld.long 0x00 5. "FRM_VLD,Valid bit value" "0,1" newline bitfld.long 0x00 4. "VLD_MOD,Enable Valid bit insertion" "0,1" bitfld.long 0x00 3. "UD_MOD,Enable User Data insertion" "0,1" bitfld.long 0x00 2. "CS_MOD,Enable Channel Status insertion" "0,1" bitfld.long 0x00 1. "UD_ACK,User Data ACK" "0,1" newline bitfld.long 0x00 0. "CS_ACK,Channel Status ACK" "0,1" group.long 0x228++0x03 line.long 0x00 "TX_DATAPATH_CTRL_CLR,Transmit Data path control register" eventfld.long 0x00 30.--31. "TM_NO_PRE_BME,Internal test mode signal" "0,1,2,3" eventfld.long 0x00 17. "SPDIF_LOOPBACK_EN,Enabled internal SPDIF loopback for test mode" "0,1" eventfld.long 0x00 14. "STRT_DATA_TX,Once Comma pattern is successively received and heartbeat is detected start transmit of data" "0,1" eventfld.long 0x00 12.--13. "TX_FORMAT,Transmit data format" "0,1,2,3" newline eventfld.long 0x00 11. "FRM_FMT,Frame format of input data" "0,1" eventfld.long 0x00 7. "EN_PREAMBLE,Enable preamble insertion" "0,1" eventfld.long 0x00 6. "EN_PARITY,Enable parity insertion" "0,1" eventfld.long 0x00 5. "FRM_VLD,Valid bit value" "0,1" newline eventfld.long 0x00 4. "VLD_MOD,Enable Valid bit insertion" "0,1" eventfld.long 0x00 3. "UD_MOD,Enable User Data insertion" "0,1" eventfld.long 0x00 2. "CS_MOD,Enable Channel Status insertion" "0,1" eventfld.long 0x00 1. "UD_ACK,User Data ACK" "0,1" newline eventfld.long 0x00 0. "CS_ACK,Channel Status ACK" "0,1" group.long 0x22C++0x03 line.long 0x00 "TX_DATAPATH_CTRL_TOG,Transmit Data path control register" bitfld.long 0x00 30.--31. "TM_NO_PRE_BME,Internal test mode signal" "0,1,2,3" bitfld.long 0x00 17. "SPDIF_LOOPBACK_EN,Enabled internal SPDIF loopback for test mode" "0,1" bitfld.long 0x00 14. "STRT_DATA_TX,Once Comma pattern is successively received and heartbeat is detected start transmit of data" "0,1" bitfld.long 0x00 12.--13. "TX_FORMAT,Transmit data format" "0,1,2,3" newline bitfld.long 0x00 11. "FRM_FMT,Frame format of input data" "0,1" bitfld.long 0x00 7. "EN_PREAMBLE,Enable preamble insertion" "0,1" bitfld.long 0x00 6. "EN_PARITY,Enable parity insertion" "0,1" bitfld.long 0x00 5. "FRM_VLD,Valid bit value" "0,1" newline bitfld.long 0x00 4. "VLD_MOD,Enable Valid bit insertion" "0,1" bitfld.long 0x00 3. "UD_MOD,Enable User Data insertion" "0,1" bitfld.long 0x00 2. "CS_MOD,Enable Channel Status insertion" "0,1" bitfld.long 0x00 1. "UD_ACK,User Data ACK" "0,1" newline bitfld.long 0x00 0. "CS_ACK,Channel Status ACK" "0,1" repeat 6. (increment 0 1) (increment 0 0x04) group.long ($2+0x230)++0x03 line.long 0x00 "TX_CS_DATA_BITS_[$1],Channel staus bits $1" hexmask.long 0x00 0.--31. 1. "CS_DATA,Channel Status bits / block" repeat.end repeat 6. (increment 0 1) (increment 0 0x04) group.long ($2+0x248)++0x03 line.long 0x00 "TX_USER_DATA_BITS_[$1],User data bits $1" hexmask.long 0x00 0.--31. 1. "U_DATA,User data bits/block" repeat.end group.long 0x260++0x03 line.long 0x00 "TX_DPATH_CNTR_CTRL,Transmit datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x264++0x03 line.long 0x00 "TX_DPATH_CNTR_CTRL_SET,Transmit datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x268++0x03 line.long 0x00 "TX_DPATH_CNTR_CTRL_CLR,Transmit datapath counter control register" eventfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" eventfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" eventfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" eventfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" group.long 0x26C++0x03 line.long 0x00 "TX_DPATH_CNTR_CTRL_TOG,Transmit datapath counter control register" bitfld.long 0x00 9. "RST_TS_CNTR,Reset timestamp counter" "0,1" bitfld.long 0x00 8. "RST_BIT_CNTR,Reset bit counter" "0,1" bitfld.long 0x00 1. "TS_INC,Timestamp Increment" "0,1" bitfld.long 0x00 0. "TS_EN,Timestamp counter enable" "0,1" rgroup.long 0x270++0x03 line.long 0x00 "TX_DPATH_TSCR,Transmit Datapath Timestamp Counter Register" hexmask.long 0x00 0.--31. 1. "CVAL,Timestamp counter value" rgroup.long 0x274++0x03 line.long 0x00 "TX_DPATH_BCR,Transmit Datapath Bit counter register" hexmask.long 0x00 0.--31. 1. "CVAL,Bit count value" rgroup.long 0x278++0x03 line.long 0x00 "TX_DPATH_BCTR,Transmit datapath Bit count timestamp register" hexmask.long 0x00 0.--31. 1. "BCT_VAL,Bit count timestamp value" rgroup.long 0x27C++0x03 line.long 0x00 "TX_DPATH_BCRR,Transmmit datapath Bit read timestamp register" hexmask.long 0x00 0.--31. 1. "BCT_VAL,Bit count timestamp value" group.long 0x2C0++0x03 line.long 0x00 "INT_CTRL,Internal Control" bitfld.long 0x00 22. "BYPASS_PLOCK,Bypass SPDIF CDR wait for plock" "0,1" bitfld.long 0x00 16.--21. "CBITM,Channel Status Bit mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 10.--15. "CBITE,Channel Status Bit values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 2.--9. 1. "MBIT,mute bit offset" newline bitfld.long 0x00 1. "DIS_TIMED_SPDIF_WAKEUP,Enable SPDIF wakeup interupt" "0,1" group.long 0x2C4++0x03 line.long 0x00 "INT_CTRL_SET,Internal Control" bitfld.long 0x00 22. "BYPASS_PLOCK,Bypass SPDIF CDR wait for plock" "0,1" bitfld.long 0x00 16.--21. "CBITM,Channel Status Bit mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 10.--15. "CBITE,Channel Status Bit values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 2.--9. 1. "MBIT,mute bit offset" newline bitfld.long 0x00 1. "DIS_TIMED_SPDIF_WAKEUP,Enable SPDIF wakeup interupt" "0,1" group.long 0x2C8++0x03 line.long 0x00 "INT_CTRL_CLR,Internal Control" eventfld.long 0x00 22. "BYPASS_PLOCK,Bypass SPDIF CDR wait for plock" "0,1" eventfld.long 0x00 16.--21. "CBITM,Channel Status Bit mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" eventfld.long 0x00 10.--15. "CBITE,Channel Status Bit values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 2.--9. 1. "MBIT,mute bit offset" newline eventfld.long 0x00 1. "DIS_TIMED_SPDIF_WAKEUP,Enable SPDIF wakeup interupt" "0,1" group.long 0x2CC++0x03 line.long 0x00 "INT_CTRL_TOG,Internal Control" bitfld.long 0x00 22. "BYPASS_PLOCK,Bypass SPDIF CDR wait for plock" "0,1" bitfld.long 0x00 16.--21. "CBITM,Channel Status Bit mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 10.--15. "CBITE,Channel Status Bit values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 2.--9. 1. "MBIT,mute bit offset" newline bitfld.long 0x00 1. "DIS_TIMED_SPDIF_WAKEUP,Enable SPDIF wakeup interupt" "0,1" tree.end tree "SRC (System Reset Controller)" tree "SRC_A55C0_SLICE" base ad:0x44462C00 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_A55C1_SLICE" base ad:0x44463000 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_A55P_SLICE" base ad:0x44463400 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_AON_SLICE" base ad:0x44460800 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_DDR_SLICE" base ad:0x44461000 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_DPHY_SLICE" base ad:0x44461400 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_HSIO_SLICE" base ad:0x44462000 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_M33P_SLICE" base ad:0x44462800 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_MEDIA_SLICE" base ad:0x44462400 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_ML_SLICE" base ad:0x44461800 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_NIC_SLICE" base ad:0x44461C00 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_SENTINEL_SLICE" base ad:0x44460400 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree "SRC_WKUP_SLICE" base ad:0x44460C00 group.long 0x04++0x03 line.long 0x00 "AUTHEN_CTRL,Authentication Control" hexmask.long.word 0x00 16.--31. 1. "WHITE_LIST,Domain ID white list" newline bitfld.long 0x00 15. "LOCK_LIST,White list lock" "0,1" newline bitfld.long 0x00 11. "LOCK_TZ,Lock NONSECURE and USER" "0,1" newline bitfld.long 0x00 9. "TZ_NS,Allow non-secure mode access" "0,1" newline bitfld.long 0x00 8. "TZ_USER,Allow user mode access" "0,1" newline bitfld.long 0x00 7. "LOCK_CFG,Configuration lock" "0,1" newline bitfld.long 0x00 2. "LPM_MODE,low power control mode" "0: low power mode controlled by software,1: low power mode controlled by GPC hardware" group.long 0x20++0x03 line.long 0x00 "SLICE_SW_CTRL,Slice software control" bitfld.long 0x00 31. "PDN_SOFT,Software power trans contrl include reset iso power switch locked by LPM_MODE filed" "0: no effect or software power up,1: software power down" newline bitfld.long 0x00 16. "SAFE_RESET,just for cm33 safe reset feature" "0: no efect or software cm33 safe reset deassert,1: software cm33 safe reset assert" newline bitfld.long 0x00 10. "A55_HDSK_CTRL_SOFT,Software a55 handshake control locked by LPM_MODE filed" "0: no effect or software notify A55 power up info,1: software notify A55 power down info" newline bitfld.long 0x00 8. "SSAR_CTRL_SOFT,Software ssar control locked by LPM_MODE filed" "0: no efect or software ssar restore,1: software ssar save" newline bitfld.long 0x00 6. "MTR_LOAD_SOFT,Software control mtr repair load locked by LPM_MODE filed" "0: MTR_LOAD_SOFT_0,1: software load mtr repair" newline bitfld.long 0x00 4. "PSW_CTRL_SOFT,Software power switch control locked by LPM_MODE filed" "0: no effect or software power switch on,1: software power switch off" newline bitfld.long 0x00 2. "ISO_CTRL_SOFT,Software isolation control locked by LPM_MODE filed" "0: no effect or software iso off,1: ISO_CTRL_SOFT_1" newline bitfld.long 0x00 0. "RST_CTRL_SOFT,Software reset control locked by LPM_MODE filed" "0: no effect or software reset deassert,1: sofware reset assert" group.long 0x24++0x03 line.long 0x00 "SINGLE_RESET_SW_CTRL,single reset by software control" bitfld.long 0x00 10. "RST_CTRL_SOFT_5,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 8. "RST_CTRL_SOFT_4,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 6. "RST_CTRL_SOFT_3,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 4. "RST_CTRL_SOFT_2,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 2. "RST_CTRL_SOFT_1,locked by LPM_MODE filed" "0: software reset assert,1: no effect or software reset deassert" newline bitfld.long 0x00 0. "RST_CTRL_SOFT_0,locked by LPM_MODE filed" "0: sotware reset assert,1: no effect or software reset deassert" group.long 0x40++0x03 line.long 0x00 "A55_HDSK_ACK_CTRL,a55 handshake acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise a55_hdsk done to GPC..,1: delay after receiving A55 ack delay cycle..,2: Ignore A55 ack raise a55_hdsk done to GPC..,3: Time out mode raise a55_hdsk done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "A55_HDSK_CNT_CFG,a55 handshake count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x44++0x03 line.long 0x00 "A55_HDSK_ACK_STAT,a55 handshake acknowledge status" bitfld.long 0x00 31. "BUSY_A55_PUP_HDSK,Busy requesting a55 power up handshake" "0,1" newline bitfld.long 0x00 30. "BUSY_A55_PDN_HDSK,Busy requesting a55 power down handshake" "0,1" newline hexmask.long.byte 0x00 16.--23. 1. "PUP_ACK_CNT,a55 handshake power up acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.byte 0x00 0.--7. 1. "PDN_ACK_CNT,a55 handshake power down acknowledge count record the delay from stat change to acknowledge received" group.long 0x50++0x03 line.long 0x00 "SSAR_ACK_CTRL,SSAR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise ssar_save/restore done..,1: delay after receiving Sentinel ack delay..,2: Ignore Sentinel ack raise ssar_save/restore..,3: Time out mode raise ssar_save/restore done to.." newline hexmask.long.word 0x00 0.--13. 1. "SSAR_CNT_CFG,ssar count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x54++0x03 line.long 0x00 "SSAR_ACK_STAT,SSAR acknowledge status" bitfld.long 0x00 31. "BUSY_RESTORE,Busy requesting SSAR restore" "0,1" newline bitfld.long 0x00 30. "BUSY_SAVED,Busy requesting SSAR save" "0,1" newline bitfld.long 0x00 29. "SAVED,Indicate this mix power down info have accepted sentinel ack" "0,1" newline hexmask.long.word 0x00 14.--27. 1. "RESTORE_ACK_CNT,RESTORE acknowledge count record the delay from stat change to acknowledge received" newline hexmask.long.word 0x00 0.--13. 1. "SAVE_ACK_CNT,SAVE acknowledge count record the delay from stat change to acknowledge received" group.long 0x5C++0x03 line.long 0x00 "ISO_OFF_DLY_POR,iso off delay control when por" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF_POR,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x60++0x03 line.long 0x00 "ISO_ON_DLY,iso on delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_ON,Delay from receiving iso_on request to isolation enable locked by LOCK_CFG field" group.long 0x64++0x03 line.long 0x00 "ISO_OFF_DLY,iso off delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_ISO_OFF,Delay from receiving iso off request to isolation disable locked by LOCK_CFG field" group.long 0x68++0x03 line.long 0x00 "PSW_OFF_LF_DLY,psw off lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_LF,Delay from receiving power off lf request to power switch shut off locked by LOCK_CFG field" group.long 0x70++0x03 line.long 0x00 "PSW_OFF_HF_DLY,psw off hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_OFF_HF,Delay from receiving power off hf request to power switch shut off locked by LOCK_CFG field" group.long 0x74++0x03 line.long 0x00 "PSW_ON_LF_DLY,psw on lf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_LF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x78++0x03 line.long 0x00 "PSW_ON_HF_DLY,psw on hf delay control" hexmask.long 0x00 0.--31. 1. "DLY_PRE_PSW_ON_HF,Delay from receiving power on lf request to power switch truns on locked by LOCK_CFG field" group.long 0x80++0x03 line.long 0x00 "PSW_ACK_CTRL_0,Power switch acknowledge control" bitfld.long 0x00 31. "HF_ACK_INVERT,HF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 30. "LF_ACK_INVERT,LF Acknowledge value is inverted from power switch control locked by LOCK_CFG field" "0,1" newline bitfld.long 0x00 28.--29. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise power_on/off done to..,1: delay after receiving psw ack delay cycle..,2: Ignore psw ack raise power_on/off done to GPC..,3: Time out mode raise power_on/off done to GPC.." newline hexmask.long.word 0x00 16.--25. 1. "PUP_HF_CNT_CFG,PUP HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PUP_LF_CNT_CFG,PUP LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" group.long 0x84++0x03 line.long 0x00 "PSW_ACK_CTRL_1,Power switch acknowledge control" hexmask.long.word 0x00 16.--25. 1. "PDN_HF_CNT_CFG,PDN HF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" newline hexmask.long.word 0x00 0.--9. 1. "PDN_LF_CNT_CFG,PDN LF Count configure useage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x88++0x03 line.long 0x00 "PSW_ACK_STAT,PSW acknowledge status" bitfld.long 0x00 31. "HF_ACK_STAT,HF PSW acknowledge status" "0,1" newline bitfld.long 0x00 30. "LF_ACK_STAT,LF PSW acknowledge status" "0,1" newline hexmask.long.word 0x00 16.--25. 1. "HF_ACK_CNT,HF PSW acknowledge count record the delay from power switch change to acknowledge received" newline hexmask.long.word 0x00 0.--9. 1. "LF_ACK_CNT,LF PSW acknowledge count record the delay from power switch change to acknowledge received" group.long 0x90++0x03 line.long 0x00 "MTR_ACK_CTRL,MTR acknowledge control" bitfld.long 0x00 30.--31. "CNT_MODE,Configure the acknoledge counter working mode locked by LOCK_CFG field" "0: Not use counter raise mtr done to GPC once..,1: delay after receiving MTR ack delay cycle..,2: Ignore MTR ack raise mtr done to GPC when..,3: Time out mode raise mtr done to GPC when.." newline hexmask.long.byte 0x00 0.--7. 1. "MTR_CNT_CFG,MTR count configure usage is depending on CNT_MODE locked by LOCK_CFG field" rgroup.long 0x94++0x03 line.long 0x00 "MTR_ACK_STAT,MTR acknowledge status" bitfld.long 0x00 31. "BUSY_MTR,Busy requesting MTR" "0,1" newline bitfld.long 0x00 30. "MTR_DONE,Indicate MTR load repair finished" "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "MTR_ACK_CNT,MTR acknowledge count record the delay from stat change to acknowledge received" rgroup.long 0xA0++0x03 line.long 0x00 "UPI_STAT_0,UPI status 0" hexmask.long.word 0x00 16.--31. 1. "UPI_POWER_REQUEST,CPU mode trans power request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_ISO_REQUEST,CPU mode trans iso request of 16 domains" rgroup.long 0xA4++0x03 line.long 0x00 "UPI_STAT_1,UPI status 1" hexmask.long.word 0x00 16.--31. 1. "UPI_SSAR_REQUEST,CPU mode trans ssar request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_RESET_REQUEST,CPU mode trans reset request of 16 domains" rgroup.long 0xA8++0x03 line.long 0x00 "UPI_STAT_2,UPI status 2" hexmask.long.word 0x00 16.--31. 1. "UPI_A55_HDSK_REQUEST,CPU mode trans a55 handshake request of 16 domains" newline hexmask.long.word 0x00 0.--15. 1. "UPI_MTR_REQUEST,CPU mode trans mtr request of 16 domains" rgroup.long 0xAC++0x03 line.long 0x00 "UPI_STAT_3,UPI status 3" hexmask.long.word 0x00 0.--15. 1. "UPI_MEM_REQUEST,CPU mode trans mem request of 16 domains" rgroup.long 0xB0++0x03 line.long 0x00 "FSM_STAT,FSM status" bitfld.long 0x00 24.--26. "MEM_STAT,memory FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--23. "A55_HDSK_STAT,a55 hadshake FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--18. "SSAR_STAT,ssar FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. "MTR_STAT,mtr FSM status" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8.--11. "ISO_STAT,isolation FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "RST_STAT,reset FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "PSW_STAT,power switch FSM status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xB4++0x03 line.long 0x00 "FUNC_STAT,function status" bitfld.long 0x00 12. "MEM_STAT,memory w/ status" "0: no effect or memory w/ exit LP done,1: memory w/ enter LP done" newline bitfld.long 0x00 10. "A55_HDSK_STAT,a55 hadshake status" "0: no effect or power up handshake with A55..,1: power down handshake with A55 done(just for.." newline bitfld.long 0x00 8. "SSAR_STAT,ssar status" "0: no effect or power up handshake with Sentinel..,1: power down handshake with Sentinel done" newline bitfld.long 0x00 6. "MTR_STAT,mtr status" "0: MTR_STAT_0,1: memory repair/trim done" newline bitfld.long 0x00 4. "ISO_STAT,isolation status" "0: isolation off,1: isolation on" newline bitfld.long 0x00 2. "RST_STAT,reset status" "0: reset assert,1: reset release" newline bitfld.long 0x00 0. "PSW_STAT,power switch status" "0: power switch on,1: power switch off" tree.end tree.end tree "SYS_CTR_COMPARE" base ad:0x442A0000 group.long 0x20++0x03 line.long 0x00 "CMPCVL0,Compare Count Value Low Register" hexmask.long 0x00 0.--31. 1. "CMPCV0,Compare Count Value bits [31:0]" group.long 0x24++0x03 line.long 0x00 "CMPCVH0,Compare Count Value High Register" hexmask.long.tbyte 0x00 0.--23. 1. "CMPCV1,Compare Count Value bits [55:32]" group.long 0x2C++0x03 line.long 0x00 "CMPCR0,Compare Control Register" rbitfld.long 0x00 2. "ISTAT,Interrupt Status" "0: Counter value is less than the compare value..,1: Counter value is greater than or equal to the.." bitfld.long 0x00 1. "IMASK,Interrupt Request Mask" "0: Interrupt output signal is not masked,1: Interrupt output signal is masked" bitfld.long 0x00 0. "EN,Enable" "0: Compare disabled,1: Compare enabled" group.long 0x120++0x03 line.long 0x00 "CMPCVL1,Compare Count Value Low Register" hexmask.long 0x00 0.--31. 1. "CMPCV0,Compare Count Value bits [31:0]" group.long 0x124++0x03 line.long 0x00 "CMPCVH1,Compare Count Value High Register" hexmask.long.tbyte 0x00 0.--23. 1. "CMPCV1,Compare Count Value bits [55:32]" group.long 0x12C++0x03 line.long 0x00 "CMPCR1,Compare Control Register" rbitfld.long 0x00 2. "ISTAT,Interrupt Status" "0: Counter value is less than the compare value..,1: Counter value is greater than or equal to the.." bitfld.long 0x00 1. "IMASK,Interrupt Request Mask" "0: Interrupt output signal is not masked,1: Interrupt output signal is masked" bitfld.long 0x00 0. "EN,Enable" "0: Compare disabled,1: Compare enabled" rgroup.long 0xFD0++0x03 line.long 0x00 "CNTID0,Counter ID Register" hexmask.long 0x00 0.--31. 1. "CNTID,Counter Identification" tree.end tree "SYS_CTR_CONTROL" base ad:0x44290000 group.long 0x00++0x03 line.long 0x00 "CNTCR,Counter Control Register" bitfld.long 0x00 9. "FCR1,Frequency Change Request ID 1" "0: No change,1: Select frequency modes table entry 1 the base.." bitfld.long 0x00 8. "FCR0,Frequency Change Request ID 0" "0: No change,1: Select frequency modes table entry 0 the base.." newline bitfld.long 0x00 1. "HDBG,Enable Debug" "0: The assertion of the debug input is ignored,1: The assertion of the debug input causes the.." bitfld.long 0x00 0. "EN,Enable Counting" "0: Counter disabled,1: Counter enabled" rgroup.long 0x04++0x03 line.long 0x00 "CNTSR,Counter Status Register" bitfld.long 0x00 9. "FCA1,Frequency Change Acknowledge ID 1" "0: Base frequency is not selected,1: Base frequency is selected" bitfld.long 0x00 8. "FCA0,Frequency Change Acknowledge ID 0" "0: Base frequency is not selected,1: Base frequency is selected" newline bitfld.long 0x00 0. "DBGH,Debug Halt" "0: Counter is not halted by debug,1: Counter is halted by debug" group.long 0x08++0x03 line.long 0x00 "CNTCV0,Counter Count Value Low Register" hexmask.long 0x00 0.--31. 1. "CNTCV0,Counter Count Value bits [31:0]" group.long 0x0C++0x03 line.long 0x00 "CNTCV1,Counter Count Value High Register" hexmask.long.tbyte 0x00 0.--23. 1. "CNTCV1,Counter Count Value bits [55:32]" rgroup.long 0x20++0x03 line.long 0x00 "CNTFID0,Frequency Modes Table 0 Register" hexmask.long 0x00 0.--31. 1. "CNTFID0,Counter Frequency ID 0" rgroup.long 0x24++0x03 line.long 0x00 "CNTFID1,Frequency Modes Table 1 Register" hexmask.long 0x00 0.--31. 1. "CNTFID1,Counter Frequency ID 1" rgroup.long 0x28++0x03 line.long 0x00 "CNTFID2,Frequency Modes Table 2 Register" hexmask.long 0x00 0.--31. 1. "CNTFID2,Counter Frequency ID 2" rgroup.long 0xFD0++0x03 line.long 0x00 "CNTID0,Counter ID Register" hexmask.long 0x00 0.--31. 1. "CNTID,Counter Identification" tree.end tree "SYS_CTR_READ" base ad:0x442B0000 rgroup.long 0x08++0x03 line.long 0x00 "CNTCV0,Counter Count Value Low Register" hexmask.long 0x00 0.--31. 1. "CNTCV0,Counter Count Value bits [31:0]" rgroup.long 0x0C++0x03 line.long 0x00 "CNTCV1,Counter Count Value High Register" hexmask.long.tbyte 0x00 0.--23. 1. "CNTCV1,Counter Count Value bits [55:32]" rgroup.long 0xFD0++0x03 line.long 0x00 "CNTID0,Counter ID Register" hexmask.long 0x00 0.--31. 1. "CNTID,Counter Identification" tree.end tree "SYSPM (CMX_PERFMON)" tree "M33_PCF1" base ad:0x443E0000 repeat 2. (increment 0 1)(increment 0 0x100) tree "PMCR[$1]" group.long ($2+0x00)++0x03 line.long 0x00 "PMCR,Performance Monitor Control Register" hexmask.long.byte 0x00 25.--31. 1. "SELEVT3,Select Event 3" hexmask.long.byte 0x00 18.--24. 1. "SELEVT2,Select Event 2" newline hexmask.long.byte 0x00 11.--17. 1. "SELEVT1,Select Event 1" bitfld.long 0x00 10. "RECTR3,Reset Event Counter 3" "0: Counter runs normally,1: Counter value resets at the end of the cycle" newline bitfld.long 0x00 9. "RECTR2,Reset Event Counter 2" "0,1" bitfld.long 0x00 8. "RECTR1,Reset Event Counter 1" "0,1" newline bitfld.long 0x00 7. "RICTR,Resets the Instruction Counter" "0: do not reset the instruction counter,1: clear the instruction counter" bitfld.long 0x00 6. "DCIFSH,Disable Counters if Stopped or Halted" "0: Conitnue counting,1: Stops counting when the CPU is halted" newline bitfld.long 0x00 4.--5. "CMODE,Count Mode" "0: count in both user and previleged modes,?,2: count only in user mode,3: count only in privileged mode" bitfld.long 0x00 1.--3. "SSC,Start/Stop Control" "0: val0,1: local stop,2: local start,3: local start,?..." newline rbitfld.long 0x00 0. "MENB,Module is Enabled" "0: Disable the performance monitor,1: Enable the performance monitor" rgroup.byte ($2+0x10)++0x00 line.byte 0x00 "PMICTR_HI,Performance Monitor Instruction Counter" hexmask.byte 0x00 0.--7. 1. "ICTR,Instruction counter" rgroup.long ($2+0x14)++0x03 line.long 0x00 "PMICTR_LO,Performance Monitor Instruction Counter" hexmask.long 0x00 0.--31. 1. "ICTR,Instruction counter" tree "PMECTR[0]" rgroup.byte ($2+0x18)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x1C)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree "PMECTR[1]" rgroup.byte ($2+0x20)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x24)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree "PMECTR[2]" rgroup.byte ($2+0x28)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x2C)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree.end repeat.end tree.end tree "M33_PSF1" base ad:0x443F0000 repeat 2. (increment 0 1)(increment 0 0x100) tree "PMCR[$1]" group.long ($2+0x00)++0x03 line.long 0x00 "PMCR,Performance Monitor Control Register" hexmask.long.byte 0x00 25.--31. 1. "SELEVT3,Select Event 3" hexmask.long.byte 0x00 18.--24. 1. "SELEVT2,Select Event 2" newline hexmask.long.byte 0x00 11.--17. 1. "SELEVT1,Select Event 1" bitfld.long 0x00 10. "RECTR3,Reset Event Counter 3" "0: Counter runs normally,1: Counter value resets at the end of the cycle" newline bitfld.long 0x00 9. "RECTR2,Reset Event Counter 2" "0,1" bitfld.long 0x00 8. "RECTR1,Reset Event Counter 1" "0,1" newline bitfld.long 0x00 7. "RICTR,Resets the Instruction Counter" "0: do not reset the instruction counter,1: clear the instruction counter" bitfld.long 0x00 6. "DCIFSH,Disable Counters if Stopped or Halted" "0: Conitnue counting,1: Stops counting when the CPU is halted" newline bitfld.long 0x00 4.--5. "CMODE,Count Mode" "0: count in both user and previleged modes,?,2: count only in user mode,3: count only in privileged mode" bitfld.long 0x00 1.--3. "SSC,Start/Stop Control" "0: val0,1: local stop,2: local start,3: local start,?..." newline rbitfld.long 0x00 0. "MENB,Module is Enabled" "0: Disable the performance monitor,1: Enable the performance monitor" rgroup.byte ($2+0x10)++0x00 line.byte 0x00 "PMICTR_HI,Performance Monitor Instruction Counter" hexmask.byte 0x00 0.--7. 1. "ICTR,Instruction counter" rgroup.long ($2+0x14)++0x03 line.long 0x00 "PMICTR_LO,Performance Monitor Instruction Counter" hexmask.long 0x00 0.--31. 1. "ICTR,Instruction counter" tree "PMECTR[0]" rgroup.byte ($2+0x18)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x1C)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree "PMECTR[1]" rgroup.byte ($2+0x20)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x24)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree "PMECTR[2]" rgroup.byte ($2+0x28)++0x00 line.byte 0x00 "PMECTR_HI_,Performance Monitor Event Counter" hexmask.byte 0x00 0.--7. 1. "ECTR,Event Counter" rgroup.long ($2+0x2C)++0x03 line.long 0x00 "PMECTR_LO_,Performance Monitor Event Counter" hexmask.long 0x00 0.--31. 1. "ECTR,Event Counter" tree.end tree.end repeat.end tree.end tree.end tree "TCD (DMA TCD)" base ad:0x44010000 group.long 0x00++0x03 line.long 0x00 "CH0_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x04++0x03 line.long 0x00 "CH0_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x08++0x03 line.long 0x00 "CH0_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x0C++0x03 line.long 0x00 "CH0_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10++0x03 line.long 0x00 "CH0_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x20++0x03 line.long 0x00 "TCD0_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x24++0x01 line.word 0x00 "TCD0_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x26++0x01 line.word 0x00 "TCD0_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x28++0x03 line.long 0x00 "TCD0_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x28++0x03 line.long 0x00 "TCD0_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x2C++0x03 line.long 0x00 "TCD0_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x30++0x03 line.long 0x00 "TCD0_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x34++0x01 line.word 0x00 "TCD0_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x36++0x01 line.word 0x00 "TCD0_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x36++0x01 line.word 0x00 "TCD0_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x38++0x03 line.long 0x00 "TCD0_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x3C++0x01 line.word 0x00 "TCD0_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x3E++0x01 line.word 0x00 "TCD0_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x3E++0x01 line.word 0x00 "TCD0_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x10000++0x03 line.long 0x00 "CH1_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x10004++0x03 line.long 0x00 "CH1_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x10008++0x03 line.long 0x00 "CH1_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1000C++0x03 line.long 0x00 "CH1_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10010++0x03 line.long 0x00 "CH1_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x10020++0x03 line.long 0x00 "TCD1_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x10024++0x01 line.word 0x00 "TCD1_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x10026++0x01 line.word 0x00 "TCD1_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x10028++0x03 line.long 0x00 "TCD1_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x10028++0x03 line.long 0x00 "TCD1_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1002C++0x03 line.long 0x00 "TCD1_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x10030++0x03 line.long 0x00 "TCD1_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x10034++0x01 line.word 0x00 "TCD1_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x10036++0x01 line.word 0x00 "TCD1_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x10036++0x01 line.word 0x00 "TCD1_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x10038++0x03 line.long 0x00 "TCD1_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1003C++0x01 line.word 0x00 "TCD1_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1003E++0x01 line.word 0x00 "TCD1_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1003E++0x01 line.word 0x00 "TCD1_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x20000++0x03 line.long 0x00 "CH2_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x20004++0x03 line.long 0x00 "CH2_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x20008++0x03 line.long 0x00 "CH2_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x2000C++0x03 line.long 0x00 "CH2_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20010++0x03 line.long 0x00 "CH2_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x20020++0x03 line.long 0x00 "TCD2_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x20024++0x01 line.word 0x00 "TCD2_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x20026++0x01 line.word 0x00 "TCD2_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x20028++0x03 line.long 0x00 "TCD2_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x20028++0x03 line.long 0x00 "TCD2_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x2002C++0x03 line.long 0x00 "TCD2_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x20030++0x03 line.long 0x00 "TCD2_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x20034++0x01 line.word 0x00 "TCD2_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x20036++0x01 line.word 0x00 "TCD2_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x20036++0x01 line.word 0x00 "TCD2_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x20038++0x03 line.long 0x00 "TCD2_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x2003C++0x01 line.word 0x00 "TCD2_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x2003E++0x01 line.word 0x00 "TCD2_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x2003E++0x01 line.word 0x00 "TCD2_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x30000++0x03 line.long 0x00 "CH3_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x30004++0x03 line.long 0x00 "CH3_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x30008++0x03 line.long 0x00 "CH3_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x3000C++0x03 line.long 0x00 "CH3_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x30010++0x03 line.long 0x00 "CH3_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x30020++0x03 line.long 0x00 "TCD3_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x30024++0x01 line.word 0x00 "TCD3_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x30026++0x01 line.word 0x00 "TCD3_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x30028++0x03 line.long 0x00 "TCD3_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x30028++0x03 line.long 0x00 "TCD3_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x3002C++0x03 line.long 0x00 "TCD3_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x30030++0x03 line.long 0x00 "TCD3_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x30034++0x01 line.word 0x00 "TCD3_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x30036++0x01 line.word 0x00 "TCD3_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x30036++0x01 line.word 0x00 "TCD3_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x30038++0x03 line.long 0x00 "TCD3_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x3003C++0x01 line.word 0x00 "TCD3_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x3003E++0x01 line.word 0x00 "TCD3_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x3003E++0x01 line.word 0x00 "TCD3_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x40000++0x03 line.long 0x00 "CH4_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x40004++0x03 line.long 0x00 "CH4_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x40008++0x03 line.long 0x00 "CH4_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x4000C++0x03 line.long 0x00 "CH4_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x40010++0x03 line.long 0x00 "CH4_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x40020++0x03 line.long 0x00 "TCD4_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x40024++0x01 line.word 0x00 "TCD4_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x40026++0x01 line.word 0x00 "TCD4_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x40028++0x03 line.long 0x00 "TCD4_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x40028++0x03 line.long 0x00 "TCD4_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x4002C++0x03 line.long 0x00 "TCD4_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x40030++0x03 line.long 0x00 "TCD4_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x40034++0x01 line.word 0x00 "TCD4_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x40036++0x01 line.word 0x00 "TCD4_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x40036++0x01 line.word 0x00 "TCD4_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x40038++0x03 line.long 0x00 "TCD4_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x4003C++0x01 line.word 0x00 "TCD4_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x4003E++0x01 line.word 0x00 "TCD4_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x4003E++0x01 line.word 0x00 "TCD4_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x50000++0x03 line.long 0x00 "CH5_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x50004++0x03 line.long 0x00 "CH5_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x50008++0x03 line.long 0x00 "CH5_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x5000C++0x03 line.long 0x00 "CH5_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x50010++0x03 line.long 0x00 "CH5_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x50020++0x03 line.long 0x00 "TCD5_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x50024++0x01 line.word 0x00 "TCD5_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x50026++0x01 line.word 0x00 "TCD5_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x50028++0x03 line.long 0x00 "TCD5_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x50028++0x03 line.long 0x00 "TCD5_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x5002C++0x03 line.long 0x00 "TCD5_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x50030++0x03 line.long 0x00 "TCD5_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x50034++0x01 line.word 0x00 "TCD5_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x50036++0x01 line.word 0x00 "TCD5_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x50036++0x01 line.word 0x00 "TCD5_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x50038++0x03 line.long 0x00 "TCD5_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x5003C++0x01 line.word 0x00 "TCD5_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x5003E++0x01 line.word 0x00 "TCD5_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x5003E++0x01 line.word 0x00 "TCD5_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x60000++0x03 line.long 0x00 "CH6_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x60004++0x03 line.long 0x00 "CH6_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x60008++0x03 line.long 0x00 "CH6_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x6000C++0x03 line.long 0x00 "CH6_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x60010++0x03 line.long 0x00 "CH6_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x60020++0x03 line.long 0x00 "TCD6_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x60024++0x01 line.word 0x00 "TCD6_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x60026++0x01 line.word 0x00 "TCD6_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x60028++0x03 line.long 0x00 "TCD6_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x60028++0x03 line.long 0x00 "TCD6_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x6002C++0x03 line.long 0x00 "TCD6_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x60030++0x03 line.long 0x00 "TCD6_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x60034++0x01 line.word 0x00 "TCD6_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x60036++0x01 line.word 0x00 "TCD6_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x60036++0x01 line.word 0x00 "TCD6_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x60038++0x03 line.long 0x00 "TCD6_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x6003C++0x01 line.word 0x00 "TCD6_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x6003E++0x01 line.word 0x00 "TCD6_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x6003E++0x01 line.word 0x00 "TCD6_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x70000++0x03 line.long 0x00 "CH7_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x70004++0x03 line.long 0x00 "CH7_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x70008++0x03 line.long 0x00 "CH7_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x7000C++0x03 line.long 0x00 "CH7_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70010++0x03 line.long 0x00 "CH7_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x70020++0x03 line.long 0x00 "TCD7_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x70024++0x01 line.word 0x00 "TCD7_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x70026++0x01 line.word 0x00 "TCD7_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x70028++0x03 line.long 0x00 "TCD7_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x70028++0x03 line.long 0x00 "TCD7_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x7002C++0x03 line.long 0x00 "TCD7_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x70030++0x03 line.long 0x00 "TCD7_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x70034++0x01 line.word 0x00 "TCD7_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x70036++0x01 line.word 0x00 "TCD7_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x70036++0x01 line.word 0x00 "TCD7_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x70038++0x03 line.long 0x00 "TCD7_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x7003C++0x01 line.word 0x00 "TCD7_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x7003E++0x01 line.word 0x00 "TCD7_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x7003E++0x01 line.word 0x00 "TCD7_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x80000++0x03 line.long 0x00 "CH8_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x80004++0x03 line.long 0x00 "CH8_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x80008++0x03 line.long 0x00 "CH8_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x8000C++0x03 line.long 0x00 "CH8_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x80010++0x03 line.long 0x00 "CH8_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x80020++0x03 line.long 0x00 "TCD8_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x80024++0x01 line.word 0x00 "TCD8_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x80026++0x01 line.word 0x00 "TCD8_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x80028++0x03 line.long 0x00 "TCD8_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x80028++0x03 line.long 0x00 "TCD8_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x8002C++0x03 line.long 0x00 "TCD8_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x80030++0x03 line.long 0x00 "TCD8_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x80034++0x01 line.word 0x00 "TCD8_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x80036++0x01 line.word 0x00 "TCD8_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x80036++0x01 line.word 0x00 "TCD8_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x80038++0x03 line.long 0x00 "TCD8_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x8003C++0x01 line.word 0x00 "TCD8_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x8003E++0x01 line.word 0x00 "TCD8_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x8003E++0x01 line.word 0x00 "TCD8_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x90000++0x03 line.long 0x00 "CH9_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x90004++0x03 line.long 0x00 "CH9_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x90008++0x03 line.long 0x00 "CH9_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x9000C++0x03 line.long 0x00 "CH9_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x90010++0x03 line.long 0x00 "CH9_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x90020++0x03 line.long 0x00 "TCD9_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x90024++0x01 line.word 0x00 "TCD9_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x90026++0x01 line.word 0x00 "TCD9_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x90028++0x03 line.long 0x00 "TCD9_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x90028++0x03 line.long 0x00 "TCD9_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x9002C++0x03 line.long 0x00 "TCD9_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x90030++0x03 line.long 0x00 "TCD9_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x90034++0x01 line.word 0x00 "TCD9_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x90036++0x01 line.word 0x00 "TCD9_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x90036++0x01 line.word 0x00 "TCD9_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x90038++0x03 line.long 0x00 "TCD9_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x9003C++0x01 line.word 0x00 "TCD9_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x9003E++0x01 line.word 0x00 "TCD9_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x9003E++0x01 line.word 0x00 "TCD9_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xA0000++0x03 line.long 0x00 "CH10_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xA0004++0x03 line.long 0x00 "CH10_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xA0008++0x03 line.long 0x00 "CH10_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xA000C++0x03 line.long 0x00 "CH10_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xA0010++0x03 line.long 0x00 "CH10_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xA0020++0x03 line.long 0x00 "TCD10_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xA0024++0x01 line.word 0x00 "TCD10_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xA0026++0x01 line.word 0x00 "TCD10_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xA0028++0x03 line.long 0x00 "TCD10_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xA0028++0x03 line.long 0x00 "TCD10_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xA002C++0x03 line.long 0x00 "TCD10_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xA0030++0x03 line.long 0x00 "TCD10_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xA0034++0x01 line.word 0x00 "TCD10_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xA0036++0x01 line.word 0x00 "TCD10_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xA0036++0x01 line.word 0x00 "TCD10_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xA0038++0x03 line.long 0x00 "TCD10_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xA003C++0x01 line.word 0x00 "TCD10_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xA003E++0x01 line.word 0x00 "TCD10_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xA003E++0x01 line.word 0x00 "TCD10_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xB0000++0x03 line.long 0x00 "CH11_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xB0004++0x03 line.long 0x00 "CH11_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xB0008++0x03 line.long 0x00 "CH11_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xB000C++0x03 line.long 0x00 "CH11_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xB0010++0x03 line.long 0x00 "CH11_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xB0020++0x03 line.long 0x00 "TCD11_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xB0024++0x01 line.word 0x00 "TCD11_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xB0026++0x01 line.word 0x00 "TCD11_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xB0028++0x03 line.long 0x00 "TCD11_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xB0028++0x03 line.long 0x00 "TCD11_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xB002C++0x03 line.long 0x00 "TCD11_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xB0030++0x03 line.long 0x00 "TCD11_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xB0034++0x01 line.word 0x00 "TCD11_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xB0036++0x01 line.word 0x00 "TCD11_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xB0036++0x01 line.word 0x00 "TCD11_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xB0038++0x03 line.long 0x00 "TCD11_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xB003C++0x01 line.word 0x00 "TCD11_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xB003E++0x01 line.word 0x00 "TCD11_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xB003E++0x01 line.word 0x00 "TCD11_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xC0000++0x03 line.long 0x00 "CH12_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xC0004++0x03 line.long 0x00 "CH12_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xC0008++0x03 line.long 0x00 "CH12_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xC000C++0x03 line.long 0x00 "CH12_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC0010++0x03 line.long 0x00 "CH12_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xC0020++0x03 line.long 0x00 "TCD12_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xC0024++0x01 line.word 0x00 "TCD12_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xC0026++0x01 line.word 0x00 "TCD12_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xC0028++0x03 line.long 0x00 "TCD12_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xC0028++0x03 line.long 0x00 "TCD12_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xC002C++0x03 line.long 0x00 "TCD12_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xC0030++0x03 line.long 0x00 "TCD12_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xC0034++0x01 line.word 0x00 "TCD12_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xC0036++0x01 line.word 0x00 "TCD12_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xC0036++0x01 line.word 0x00 "TCD12_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xC0038++0x03 line.long 0x00 "TCD12_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xC003C++0x01 line.word 0x00 "TCD12_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xC003E++0x01 line.word 0x00 "TCD12_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xC003E++0x01 line.word 0x00 "TCD12_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xD0000++0x03 line.long 0x00 "CH13_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xD0004++0x03 line.long 0x00 "CH13_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xD0008++0x03 line.long 0x00 "CH13_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xD000C++0x03 line.long 0x00 "CH13_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD0010++0x03 line.long 0x00 "CH13_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xD0020++0x03 line.long 0x00 "TCD13_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xD0024++0x01 line.word 0x00 "TCD13_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xD0026++0x01 line.word 0x00 "TCD13_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xD0028++0x03 line.long 0x00 "TCD13_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xD0028++0x03 line.long 0x00 "TCD13_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xD002C++0x03 line.long 0x00 "TCD13_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xD0030++0x03 line.long 0x00 "TCD13_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xD0034++0x01 line.word 0x00 "TCD13_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xD0036++0x01 line.word 0x00 "TCD13_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xD0036++0x01 line.word 0x00 "TCD13_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xD0038++0x03 line.long 0x00 "TCD13_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xD003C++0x01 line.word 0x00 "TCD13_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xD003E++0x01 line.word 0x00 "TCD13_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xD003E++0x01 line.word 0x00 "TCD13_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xE0000++0x03 line.long 0x00 "CH14_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xE0004++0x03 line.long 0x00 "CH14_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xE0008++0x03 line.long 0x00 "CH14_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xE000C++0x03 line.long 0x00 "CH14_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xE0010++0x03 line.long 0x00 "CH14_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xE0020++0x03 line.long 0x00 "TCD14_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xE0024++0x01 line.word 0x00 "TCD14_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xE0026++0x01 line.word 0x00 "TCD14_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xE0028++0x03 line.long 0x00 "TCD14_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xE0028++0x03 line.long 0x00 "TCD14_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xE002C++0x03 line.long 0x00 "TCD14_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xE0030++0x03 line.long 0x00 "TCD14_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xE0034++0x01 line.word 0x00 "TCD14_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xE0036++0x01 line.word 0x00 "TCD14_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xE0036++0x01 line.word 0x00 "TCD14_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xE0038++0x03 line.long 0x00 "TCD14_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xE003C++0x01 line.word 0x00 "TCD14_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xE003E++0x01 line.word 0x00 "TCD14_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xE003E++0x01 line.word 0x00 "TCD14_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0xF0000++0x03 line.long 0x00 "CH15_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0xF0004++0x03 line.long 0x00 "CH15_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0xF0008++0x03 line.long 0x00 "CH15_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0xF000C++0x03 line.long 0x00 "CH15_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xF0010++0x03 line.long 0x00 "CH15_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0xF0020++0x03 line.long 0x00 "TCD15_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0xF0024++0x01 line.word 0x00 "TCD15_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0xF0026++0x01 line.word 0x00 "TCD15_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0xF0028++0x03 line.long 0x00 "TCD15_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xF0028++0x03 line.long 0x00 "TCD15_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0xF002C++0x03 line.long 0x00 "TCD15_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0xF0030++0x03 line.long 0x00 "TCD15_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0xF0034++0x01 line.word 0x00 "TCD15_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0xF0036++0x01 line.word 0x00 "TCD15_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0xF0036++0x01 line.word 0x00 "TCD15_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0xF0038++0x03 line.long 0x00 "TCD15_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0xF003C++0x01 line.word 0x00 "TCD15_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0xF003E++0x01 line.word 0x00 "TCD15_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0xF003E++0x01 line.word 0x00 "TCD15_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x100000++0x03 line.long 0x00 "CH16_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x100004++0x03 line.long 0x00 "CH16_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x100008++0x03 line.long 0x00 "CH16_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x10000C++0x03 line.long 0x00 "CH16_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x100010++0x03 line.long 0x00 "CH16_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x100020++0x03 line.long 0x00 "TCD16_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x100024++0x01 line.word 0x00 "TCD16_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x100026++0x01 line.word 0x00 "TCD16_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x100028++0x03 line.long 0x00 "TCD16_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x100028++0x03 line.long 0x00 "TCD16_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x10002C++0x03 line.long 0x00 "TCD16_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x100030++0x03 line.long 0x00 "TCD16_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x100034++0x01 line.word 0x00 "TCD16_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x100036++0x01 line.word 0x00 "TCD16_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x100036++0x01 line.word 0x00 "TCD16_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x100038++0x03 line.long 0x00 "TCD16_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x10003C++0x01 line.word 0x00 "TCD16_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x10003E++0x01 line.word 0x00 "TCD16_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x10003E++0x01 line.word 0x00 "TCD16_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x110000++0x03 line.long 0x00 "CH17_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x110004++0x03 line.long 0x00 "CH17_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x110008++0x03 line.long 0x00 "CH17_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x11000C++0x03 line.long 0x00 "CH17_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x110010++0x03 line.long 0x00 "CH17_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x110020++0x03 line.long 0x00 "TCD17_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x110024++0x01 line.word 0x00 "TCD17_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x110026++0x01 line.word 0x00 "TCD17_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x110028++0x03 line.long 0x00 "TCD17_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x110028++0x03 line.long 0x00 "TCD17_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x11002C++0x03 line.long 0x00 "TCD17_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x110030++0x03 line.long 0x00 "TCD17_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x110034++0x01 line.word 0x00 "TCD17_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x110036++0x01 line.word 0x00 "TCD17_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x110036++0x01 line.word 0x00 "TCD17_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x110038++0x03 line.long 0x00 "TCD17_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x11003C++0x01 line.word 0x00 "TCD17_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x11003E++0x01 line.word 0x00 "TCD17_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x11003E++0x01 line.word 0x00 "TCD17_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x120000++0x03 line.long 0x00 "CH18_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x120004++0x03 line.long 0x00 "CH18_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x120008++0x03 line.long 0x00 "CH18_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x12000C++0x03 line.long 0x00 "CH18_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x120010++0x03 line.long 0x00 "CH18_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x120020++0x03 line.long 0x00 "TCD18_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x120024++0x01 line.word 0x00 "TCD18_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x120026++0x01 line.word 0x00 "TCD18_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x120028++0x03 line.long 0x00 "TCD18_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x120028++0x03 line.long 0x00 "TCD18_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x12002C++0x03 line.long 0x00 "TCD18_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x120030++0x03 line.long 0x00 "TCD18_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x120034++0x01 line.word 0x00 "TCD18_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x120036++0x01 line.word 0x00 "TCD18_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x120036++0x01 line.word 0x00 "TCD18_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x120038++0x03 line.long 0x00 "TCD18_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x12003C++0x01 line.word 0x00 "TCD18_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x12003E++0x01 line.word 0x00 "TCD18_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x12003E++0x01 line.word 0x00 "TCD18_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x130000++0x03 line.long 0x00 "CH19_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x130004++0x03 line.long 0x00 "CH19_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x130008++0x03 line.long 0x00 "CH19_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x13000C++0x03 line.long 0x00 "CH19_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x130010++0x03 line.long 0x00 "CH19_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x130020++0x03 line.long 0x00 "TCD19_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x130024++0x01 line.word 0x00 "TCD19_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x130026++0x01 line.word 0x00 "TCD19_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x130028++0x03 line.long 0x00 "TCD19_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x130028++0x03 line.long 0x00 "TCD19_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x13002C++0x03 line.long 0x00 "TCD19_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x130030++0x03 line.long 0x00 "TCD19_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x130034++0x01 line.word 0x00 "TCD19_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x130036++0x01 line.word 0x00 "TCD19_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x130036++0x01 line.word 0x00 "TCD19_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x130038++0x03 line.long 0x00 "TCD19_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x13003C++0x01 line.word 0x00 "TCD19_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x13003E++0x01 line.word 0x00 "TCD19_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x13003E++0x01 line.word 0x00 "TCD19_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x140000++0x03 line.long 0x00 "CH20_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x140004++0x03 line.long 0x00 "CH20_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x140008++0x03 line.long 0x00 "CH20_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x14000C++0x03 line.long 0x00 "CH20_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x140010++0x03 line.long 0x00 "CH20_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x140020++0x03 line.long 0x00 "TCD20_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x140024++0x01 line.word 0x00 "TCD20_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x140026++0x01 line.word 0x00 "TCD20_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x140028++0x03 line.long 0x00 "TCD20_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x140028++0x03 line.long 0x00 "TCD20_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x14002C++0x03 line.long 0x00 "TCD20_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x140030++0x03 line.long 0x00 "TCD20_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x140034++0x01 line.word 0x00 "TCD20_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x140036++0x01 line.word 0x00 "TCD20_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x140036++0x01 line.word 0x00 "TCD20_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x140038++0x03 line.long 0x00 "TCD20_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x14003C++0x01 line.word 0x00 "TCD20_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x14003E++0x01 line.word 0x00 "TCD20_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x14003E++0x01 line.word 0x00 "TCD20_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x150000++0x03 line.long 0x00 "CH21_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x150004++0x03 line.long 0x00 "CH21_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x150008++0x03 line.long 0x00 "CH21_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x15000C++0x03 line.long 0x00 "CH21_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x150010++0x03 line.long 0x00 "CH21_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x150020++0x03 line.long 0x00 "TCD21_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x150024++0x01 line.word 0x00 "TCD21_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x150026++0x01 line.word 0x00 "TCD21_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x150028++0x03 line.long 0x00 "TCD21_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x150028++0x03 line.long 0x00 "TCD21_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x15002C++0x03 line.long 0x00 "TCD21_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x150030++0x03 line.long 0x00 "TCD21_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x150034++0x01 line.word 0x00 "TCD21_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x150036++0x01 line.word 0x00 "TCD21_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x150036++0x01 line.word 0x00 "TCD21_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x150038++0x03 line.long 0x00 "TCD21_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x15003C++0x01 line.word 0x00 "TCD21_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x15003E++0x01 line.word 0x00 "TCD21_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x15003E++0x01 line.word 0x00 "TCD21_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x160000++0x03 line.long 0x00 "CH22_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x160004++0x03 line.long 0x00 "CH22_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x160008++0x03 line.long 0x00 "CH22_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x16000C++0x03 line.long 0x00 "CH22_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x160010++0x03 line.long 0x00 "CH22_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x160020++0x03 line.long 0x00 "TCD22_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x160024++0x01 line.word 0x00 "TCD22_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x160026++0x01 line.word 0x00 "TCD22_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x160028++0x03 line.long 0x00 "TCD22_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x160028++0x03 line.long 0x00 "TCD22_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x16002C++0x03 line.long 0x00 "TCD22_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x160030++0x03 line.long 0x00 "TCD22_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x160034++0x01 line.word 0x00 "TCD22_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x160036++0x01 line.word 0x00 "TCD22_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x160036++0x01 line.word 0x00 "TCD22_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x160038++0x03 line.long 0x00 "TCD22_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x16003C++0x01 line.word 0x00 "TCD22_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x16003E++0x01 line.word 0x00 "TCD22_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x16003E++0x01 line.word 0x00 "TCD22_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x170000++0x03 line.long 0x00 "CH23_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x170004++0x03 line.long 0x00 "CH23_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x170008++0x03 line.long 0x00 "CH23_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x17000C++0x03 line.long 0x00 "CH23_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x170010++0x03 line.long 0x00 "CH23_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x170020++0x03 line.long 0x00 "TCD23_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x170024++0x01 line.word 0x00 "TCD23_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x170026++0x01 line.word 0x00 "TCD23_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x170028++0x03 line.long 0x00 "TCD23_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x170028++0x03 line.long 0x00 "TCD23_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x17002C++0x03 line.long 0x00 "TCD23_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x170030++0x03 line.long 0x00 "TCD23_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x170034++0x01 line.word 0x00 "TCD23_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x170036++0x01 line.word 0x00 "TCD23_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x170036++0x01 line.word 0x00 "TCD23_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x170038++0x03 line.long 0x00 "TCD23_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x17003C++0x01 line.word 0x00 "TCD23_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x17003E++0x01 line.word 0x00 "TCD23_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x17003E++0x01 line.word 0x00 "TCD23_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x180000++0x03 line.long 0x00 "CH24_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x180004++0x03 line.long 0x00 "CH24_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x180008++0x03 line.long 0x00 "CH24_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x18000C++0x03 line.long 0x00 "CH24_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x180010++0x03 line.long 0x00 "CH24_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x180020++0x03 line.long 0x00 "TCD24_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x180024++0x01 line.word 0x00 "TCD24_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x180026++0x01 line.word 0x00 "TCD24_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x180028++0x03 line.long 0x00 "TCD24_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x180028++0x03 line.long 0x00 "TCD24_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x18002C++0x03 line.long 0x00 "TCD24_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x180030++0x03 line.long 0x00 "TCD24_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x180034++0x01 line.word 0x00 "TCD24_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x180036++0x01 line.word 0x00 "TCD24_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x180036++0x01 line.word 0x00 "TCD24_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x180038++0x03 line.long 0x00 "TCD24_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x18003C++0x01 line.word 0x00 "TCD24_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x18003E++0x01 line.word 0x00 "TCD24_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x18003E++0x01 line.word 0x00 "TCD24_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x190000++0x03 line.long 0x00 "CH25_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x190004++0x03 line.long 0x00 "CH25_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x190008++0x03 line.long 0x00 "CH25_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x19000C++0x03 line.long 0x00 "CH25_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x190010++0x03 line.long 0x00 "CH25_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x190020++0x03 line.long 0x00 "TCD25_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x190024++0x01 line.word 0x00 "TCD25_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x190026++0x01 line.word 0x00 "TCD25_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x190028++0x03 line.long 0x00 "TCD25_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x190028++0x03 line.long 0x00 "TCD25_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x19002C++0x03 line.long 0x00 "TCD25_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x190030++0x03 line.long 0x00 "TCD25_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x190034++0x01 line.word 0x00 "TCD25_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x190036++0x01 line.word 0x00 "TCD25_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x190036++0x01 line.word 0x00 "TCD25_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x190038++0x03 line.long 0x00 "TCD25_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x19003C++0x01 line.word 0x00 "TCD25_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x19003E++0x01 line.word 0x00 "TCD25_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x19003E++0x01 line.word 0x00 "TCD25_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x1A0000++0x03 line.long 0x00 "CH26_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x1A0004++0x03 line.long 0x00 "CH26_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x1A0008++0x03 line.long 0x00 "CH26_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1A000C++0x03 line.long 0x00 "CH26_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A0010++0x03 line.long 0x00 "CH26_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1A0020++0x03 line.long 0x00 "TCD26_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1A0024++0x01 line.word 0x00 "TCD26_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x1A0026++0x01 line.word 0x00 "TCD26_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x1A0028++0x03 line.long 0x00 "TCD26_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1A0028++0x03 line.long 0x00 "TCD26_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1A002C++0x03 line.long 0x00 "TCD26_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1A0030++0x03 line.long 0x00 "TCD26_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1A0034++0x01 line.word 0x00 "TCD26_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1A0036++0x01 line.word 0x00 "TCD26_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1A0036++0x01 line.word 0x00 "TCD26_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1A0038++0x03 line.long 0x00 "TCD26_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1A003C++0x01 line.word 0x00 "TCD26_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1A003E++0x01 line.word 0x00 "TCD26_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1A003E++0x01 line.word 0x00 "TCD26_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x1B0000++0x03 line.long 0x00 "CH27_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x1B0004++0x03 line.long 0x00 "CH27_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x1B0008++0x03 line.long 0x00 "CH27_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1B000C++0x03 line.long 0x00 "CH27_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1B0010++0x03 line.long 0x00 "CH27_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1B0020++0x03 line.long 0x00 "TCD27_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1B0024++0x01 line.word 0x00 "TCD27_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x1B0026++0x01 line.word 0x00 "TCD27_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x1B0028++0x03 line.long 0x00 "TCD27_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1B0028++0x03 line.long 0x00 "TCD27_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1B002C++0x03 line.long 0x00 "TCD27_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1B0030++0x03 line.long 0x00 "TCD27_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1B0034++0x01 line.word 0x00 "TCD27_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1B0036++0x01 line.word 0x00 "TCD27_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1B0036++0x01 line.word 0x00 "TCD27_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1B0038++0x03 line.long 0x00 "TCD27_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1B003C++0x01 line.word 0x00 "TCD27_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1B003E++0x01 line.word 0x00 "TCD27_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1B003E++0x01 line.word 0x00 "TCD27_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x1C0000++0x03 line.long 0x00 "CH28_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x1C0004++0x03 line.long 0x00 "CH28_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x1C0008++0x03 line.long 0x00 "CH28_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1C000C++0x03 line.long 0x00 "CH28_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C0010++0x03 line.long 0x00 "CH28_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1C0020++0x03 line.long 0x00 "TCD28_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1C0024++0x01 line.word 0x00 "TCD28_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x1C0026++0x01 line.word 0x00 "TCD28_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x1C0028++0x03 line.long 0x00 "TCD28_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1C0028++0x03 line.long 0x00 "TCD28_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1C002C++0x03 line.long 0x00 "TCD28_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1C0030++0x03 line.long 0x00 "TCD28_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1C0034++0x01 line.word 0x00 "TCD28_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1C0036++0x01 line.word 0x00 "TCD28_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1C0036++0x01 line.word 0x00 "TCD28_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1C0038++0x03 line.long 0x00 "TCD28_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1C003C++0x01 line.word 0x00 "TCD28_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1C003E++0x01 line.word 0x00 "TCD28_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1C003E++0x01 line.word 0x00 "TCD28_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x1D0000++0x03 line.long 0x00 "CH29_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x1D0004++0x03 line.long 0x00 "CH29_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x1D0008++0x03 line.long 0x00 "CH29_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1D000C++0x03 line.long 0x00 "CH29_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1D0010++0x03 line.long 0x00 "CH29_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1D0020++0x03 line.long 0x00 "TCD29_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1D0024++0x01 line.word 0x00 "TCD29_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x1D0026++0x01 line.word 0x00 "TCD29_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x1D0028++0x03 line.long 0x00 "TCD29_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1D0028++0x03 line.long 0x00 "TCD29_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1D002C++0x03 line.long 0x00 "TCD29_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1D0030++0x03 line.long 0x00 "TCD29_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1D0034++0x01 line.word 0x00 "TCD29_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1D0036++0x01 line.word 0x00 "TCD29_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1D0036++0x01 line.word 0x00 "TCD29_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1D0038++0x03 line.long 0x00 "TCD29_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1D003C++0x01 line.word 0x00 "TCD29_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1D003E++0x01 line.word 0x00 "TCD29_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1D003E++0x01 line.word 0x00 "TCD29_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" group.long 0x1E0000++0x03 line.long 0x00 "CH30_CSR,Channel Control and Status" rbitfld.long 0x00 31. "ACTIVE,Channel Active" "0,1" eventfld.long 0x00 30. "DONE,Channel Done" "0,1" newline bitfld.long 0x00 3. "EBW,Enable Buffered Writes" "0: Buffered writes on system bus disabled,1: Buffered writes on system bus enabled" bitfld.long 0x00 2. "EEI,Enable Error Interrupt" "0: Error signal for corresponding channel does..,1: Assertion of error signal for corresponding.." newline bitfld.long 0x00 1. "EARQ,Enable Asynchronous DMA Request" "0: Disable asynchronous DMA request for the..,1: Enable asynchronous DMA request for the channel" bitfld.long 0x00 0. "ERQ,Enable DMA Request" "0: DMA hardware request signal for corresponding..,1: DMA hardware request signal for corresponding.." group.long 0x1E0004++0x03 line.long 0x00 "CH30_ES,Channel Error Status" eventfld.long 0x00 31. "ERR,Error In Channel" "0: An error in this channel has not occurred,1: An error in this channel has occurred" rbitfld.long 0x00 7. "SAE,Source Address Error" "0: No source address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 6. "SOE,Source Offset Error" "0: No source offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 5. "DAE,Destination Address Error" "0: No destination address configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 4. "DOE,Destination Offset Error" "0: No destination offset configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 3. "NCE,NBYTES/CITER Configuration Error" "0: No NBYTES/CITER configuration error,1: Last recorded error was a configuration error.." newline rbitfld.long 0x00 2. "SGE,Scatter/Gather Configuration Error" "0: No scatter/gather configuration error,1: Last recorded error was a configuration error.." rbitfld.long 0x00 1. "SBE,Source Bus Error" "0: No source bus error,1: Last recorded error was bus error on source" newline rbitfld.long 0x00 0. "DBE,Destination Bus Error" "0: No destination bus error,1: Last recorded error was bus error on.." group.long 0x1E0008++0x03 line.long 0x00 "CH30_INT,Channel Interrupt Status" eventfld.long 0x00 0. "INT,Interrupt Request" "0: Interrupt request for corresponding channel..,1: Interrupt request for corresponding channel.." group.long 0x1E000C++0x03 line.long 0x00 "CH30_SBR,Channel System Bus" bitfld.long 0x00 17.--22. "ATTR,Attribute Output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16. "EMI,Enable Master ID Replication" "0: Master ID replication is disabled,1: Master ID replication is enabled" newline bitfld.long 0x00 15. "PAL,Privileged Access Level" "0: User protection level for DMA transfers,1: Privileged protection level for DMA transfers" bitfld.long 0x00 14. "SEC,Security Level" "0: Nonsecure protection level for DMA transfers,1: Secure protection level for DMA transfers" newline rbitfld.long 0x00 0.--3. "MID,Master ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1E0010++0x03 line.long 0x00 "CH30_PRI,Channel Priority" bitfld.long 0x00 31. "ECP,Enable Channel Preemption" "0: Channel cannot be suspended by a..,1: Channel can be temporarily suspended by a.." bitfld.long 0x00 30. "DPA,Disable Preempt Ability" "0: Channel can suspend a lower-priority channel,1: Channel cannot suspend any other channel.." newline bitfld.long 0x00 0.--2. "APL,Arbitration Priority Level" "0,1,2,3,4,5,6,7" group.long 0x1E0020++0x03 line.long 0x00 "TCD30_SADDR,TCD Source Address" hexmask.long 0x00 0.--31. 1. "SADDR,Source Address" group.word 0x1E0024++0x01 line.word 0x00 "TCD30_SOFF,TCD Signed Source Address Offset" hexmask.word 0x00 0.--15. 1. "SOFF,Source Address Signed Offset" group.word 0x1E0026++0x01 line.word 0x00 "TCD30_ATTR,TCD Transfer Attributes" bitfld.word 0x00 11.--15. "SMOD,Source Address Modulo" "0: Source address modulo feature disabled,1: Source address modulo feature enabled for any..,?..." bitfld.word 0x00 8.--10. "SSIZE,Source Data Transfer Size" "0: EIGHT_BIT,1: SIXTEEN_BIT,2: THIRTYTWO_BIT,3: SIXTYFOUR_BIT,4: SIXTEEN_BYTE,5: THIRTYTWO_BYTE,6: SIXTYFOUR_BYTE,?..." newline bitfld.word 0x00 3.--7. "DMOD,Destination Address Modulo" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 0.--2. "DSIZE,Destination Data Transfer Size" "0,1,2,3,4,5,6,7" group.long 0x1E0028++0x03 line.long 0x00 "TCD30_NBYTES_MLOFFNO,TCD Transfer Size Without Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long 0x00 0.--29. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1E0028++0x03 line.long 0x00 "TCD30_NBYTES_MLOFFYES,TCD Transfer Size with Minor Loop Offsets" bitfld.long 0x00 31. "SMLOE,Source Minor Loop Offset Enable" "0: Minor loop offset not applied to SADDR,1: Minor loop offset applied to SADDR" bitfld.long 0x00 30. "DMLOE,Destination Minor Loop Offset Enable" "0: Minor loop offset not applied to DADDR,1: Minor loop offset applied to DADDR" newline hexmask.long.tbyte 0x00 10.--29. 1. "MLOFF,Minor Loop Offset" hexmask.long.word 0x00 0.--9. 1. "NBYTES,Number of Bytes To Transfer Per Service Request" group.long 0x1E002C++0x03 line.long 0x00 "TCD30_SLAST_SDA,TCD Last Source Address Adjustment / Store DADDR Address" hexmask.long 0x00 0.--31. 1. "SLAST_SDA,Last Source Address Adjustment / Store DADDR Address" group.long 0x1E0030++0x03 line.long 0x00 "TCD30_DADDR,TCD Destination Address" hexmask.long 0x00 0.--31. 1. "DADDR,Destination Address" group.word 0x1E0034++0x01 line.word 0x00 "TCD30_DOFF,TCD Signed Destination Address Offset" hexmask.word 0x00 0.--15. 1. "DOFF,Destination Address Signed Offset" group.word 0x1E0036++0x01 line.word 0x00 "TCD30_CITER_ELINKNO,TCD Current Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "CITER,Current Major Iteration Count" group.word 0x1E0036++0x01 line.word 0x00 "TCD30_CITER_ELINKYES,TCD Current Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Minor Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "CITER,Current Major Iteration Count" group.long 0x1E0038++0x03 line.long 0x00 "TCD30_DLAST_SGA,TCD Last Destination Address Adjustment / Scatter Gather Address" hexmask.long 0x00 0.--31. 1. "DLAST_SGA,Last Destination Address Adjustment / Scatter Gather Address" group.word 0x1E003C++0x01 line.word 0x00 "TCD30_CSR,TCD Control and Status" bitfld.word 0x00 14.--15. "BWC,Bandwidth Control" "0: No eDMA engine stalls,?,2: eDMA engine stalls for 4 cycles after each R/W,3: eDMA engine stalls for 8 cycles after each R/W" bitfld.word 0x00 8.--12. "MAJORLINKCH,Major Loop Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.word 0x00 7. "ESDA,Enable Store Destination Address" "0: Ability to store destination address to..,1: Ability to store destination address to.." bitfld.word 0x00 6. "EEOP,Enable End-Of-Packet Processing" "0: End-of-packet operation disabled,1: End-of-packet hardware input signal enabled" newline bitfld.word 0x00 5. "MAJORELINK,Enable Link When Major Loop Complete" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 4. "ESG,Enable Scatter/Gather Processing" "0: Current channel's TCD is normal format,1: Current channel's TCD specifies.." newline bitfld.word 0x00 3. "DREQ,Disable Request" "0: CHANNEL_NOT_AFFECTED,1: Clear the ERQ field to 0 upon major loop.." bitfld.word 0x00 2. "INTHALF,Enable Interrupt If Major Counter Half-complete" "0: Halfway point interrupt disabled,1: Halfway point interrupt enabled" newline bitfld.word 0x00 1. "INTMAJOR,Enable Interrupt If Major count complete" "0: End-of-major loop interrupt disabled,1: End-of-major loop interrupt enabled" bitfld.word 0x00 0. "START,Channel Start" "0: Channel not explicitly started,1: Channel explicitly started via a.." group.word 0x1E003E++0x01 line.word 0x00 "TCD30_BITER_ELINKNO,TCD Beginning Major Loop Count (Minor Loop Channel Linking Disabled)" bitfld.word 0x00 15. "ELINK,Enables Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" hexmask.word 0x00 0.--14. 1. "BITER,Starting Major Iteration Count" group.word 0x1E003E++0x01 line.word 0x00 "TCD30_BITER_ELINKYES,TCD Beginning Major Loop Count (Minor Loop Channel Linking Enabled)" bitfld.word 0x00 15. "ELINK,Enable Link" "0: Channel-to-channel linking disabled,1: Channel-to-channel linking enabled" bitfld.word 0x00 9.--13. "LINKCH,Link Channel Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.word 0x00 0.--8. 1. "BITER,Starting Major Iteration Count" tree.end tree "TMU (Thermal Monitoring Unit)" base ad:0x44482000 group.long 0x00++0x03 line.long 0x00 "TMR,Mode" bitfld.long 0x00 30.--31. "MODE,Mode" "0: Idle low-power mode,1: Calibration mode monitoring is disabled..,2: Monitoring of sites as defined by TMSR[SITE],3: Test mode direct control of circuit inputs.." bitfld.long 0x00 29. "CMD,Central Module Disable" "0: Enabled,1: Disabled" newline bitfld.long 0x00 24.--25. "ALPF,Average Low Pass Filter Setting" "0: ALPF_d,1: ALPF_c,2: ALPF_b,3: ALPF_a" group.long 0x04++0x03 line.long 0x00 "TSR,Status" rbitfld.long 0x00 31. "TB,TMU Busy" "0: TMU is idle,1: TMU is busy" eventfld.long 0x00 30. "MIE,Monitoring Interval Exceeded" "0: Monitoring interval not exceeded,1: Monitoring interval exceeded" newline eventfld.long 0x00 29. "ORL,Out-of-Range Low Temperature Measurement" "0: No out-of-range low temperature measurement..,1: Out-of-range low temperature measurement.." eventfld.long 0x00 28. "ORH,Out-of-Range High Temperature Measurement" "0: No out-of-range high temperature measurement..,1: Out-of-range high temperature measurement.." group.long 0x08++0x03 line.long 0x00 "TMSR,Monitor Site" hexmask.long.word 0x00 0.--15. 1. "SITE,Monitoring Site Select 15-0" group.long 0x0C++0x03 line.long 0x00 "TMTMIR,Monitor Temperature Measurement Interval" bitfld.long 0x00 0.--3. "TMI,Temperature Monitoring Interval in Seconds" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20++0x03 line.long 0x00 "TIER,Interrupt Enable" bitfld.long 0x00 31. "IHTTIE,Immediate High Temperature Threshold Interrupt Enable" "0: IHTTIE_b,1: Interrupt enabled generates an interrupt if.." bitfld.long 0x00 30. "AHTTIE,Average High Temperature Threshold Interrupt Enable" "0: AHTTIE_b,1: Interrupt enabled generates an interrupt if.." newline bitfld.long 0x00 29. "AHTCTIE,Average High Temperature Critical Threshold Interrupt Enable" "0: AHTCTIE_b,1: Interrupt enabled generates an interrupt if.." bitfld.long 0x00 28. "ILTTIE,Immediate Low Temperature Threshold Interrupt Enable" "0: ILTTIE_b,1: Interrupt enabled generates an interrupt if.." newline bitfld.long 0x00 27. "ALTTIE,Average Low Temperature Threshold Interrupt Enable" "0: ALTTIE_b,1: Interrupt enabled generates an interrupt if.." bitfld.long 0x00 26. "ALTCTIE,Average Low Temperature Critical Threshold Interrupt Enable" "0: ALTCTIE_b,1: Interrupt enabled generates an interrupt if.." newline bitfld.long 0x00 25. "RTRCTIE,Rising Temperature Rate Critical Threshold Interrupt Enable" "0: RTRCTIE_b,1: Interrupt enabled generates an interrupt if.." bitfld.long 0x00 24. "FTRCTIE,Falling Temperature Rate Critical Threshold Interrupt Enable" "0: FTRCTIE_b,1: Interrupt enabled generates an interrupt if.." group.long 0x24++0x03 line.long 0x00 "TIDR,Interrupt Detect" eventfld.long 0x00 31. "IHTT,Immediate High Temperature Threshold Exceeded" "0: No threshold exceeded,1: One or more monitored sites exceed the.." eventfld.long 0x00 30. "AHTT,Average High Temperature Threshold Exceeded" "0: No threshold exceeded,1: One or more monitored sites exceed the.." newline eventfld.long 0x00 29. "AHTCT,Average High Temperature Critical Threshold Exceeded" "0: No threshold exceeded,1: One or more monitored sites exceed the.." eventfld.long 0x00 28. "ILTT,Immediate Low Temperature Threshold" "0: No threshold exceeded,1: One or more monitored sites pass the.." newline eventfld.long 0x00 27. "ALTT,Average Low Temperature Threshold" "0: No threshold exceeded,1: One or more monitored sites pass the average.." eventfld.long 0x00 26. "ALTCT,Average Low Temperature Critical Threshold" "0: No threshold exceeded,1: One or more monitored sites pass the average.." newline eventfld.long 0x00 25. "RTRCT,Rising Temperature Rate Critical Threshold" "0: No threshold exceeded,1: One or more monitored sites pass the rising.." eventfld.long 0x00 24. "FTRCT,Falling Temperature Rate Critical Threshold" "0: No threshold exceeded,1: One or more monitored sites exceed the.." group.long 0x30++0x03 line.long 0x00 "TIISCR,Interrupt Immediate Site Capture" hexmask.long.word 0x00 0.--15. 1. "SITE,Temperature Sensor Site" group.long 0x34++0x03 line.long 0x00 "TIASCR,Interrupt Average Site Capture" hexmask.long.word 0x00 0.--15. 1. "SITE,Temperature Sensor Site" group.long 0x38++0x03 line.long 0x00 "TICSCR,Interrupt Critical Site Capture" hexmask.long.word 0x00 0.--15. 1. "SITE,Temperature Sensor Site" group.long 0x40++0x03 line.long 0x00 "TMHTCR,Monitor High Temperature Capture" eventfld.long 0x00 31. "V,Valid Reading" "0: Temperature reading is not valid due to no..,1: Temperature reading is valid" rbitfld.long 0x00 9. "TP5,Highest Temperature Recorded in Kelvin by Any Enabled Monitored Site" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "TEMP,Highest Temperature Recorded in Kelvin by Any Enabled Monitored Site" group.long 0x44++0x03 line.long 0x00 "TMLTCR,Monitor Low Temperature Capture" eventfld.long 0x00 31. "V,Valid Reading" "0: Temperature reading is not valid because of..,1: Temperature reading is valid" rbitfld.long 0x00 9. "TP5,Lowest Temperature in Kelvin that Any Enabled Monitored Site Records" "0,1" newline hexmask.long.word 0x00 0.--8. 1. "TEMP,Lowest Temperature in Kelvin that Any Enabled Monitored Site Records" group.long 0x48++0x03 line.long 0x00 "TMRTRCR,Monitor Rising Temperature Rate Capture" eventfld.long 0x00 31. "V,Valid Reading" "0: Temperature reading is not valid because of..,1: Temperature reading is valid" hexmask.long.byte 0x00 0.--7. 1. "TEMP,Highest Rising Temperature Rate Change in Kelvin that Any Enabled Monitored Site Records" group.long 0x4C++0x03 line.long 0x00 "TMFTRCR,Monitor Falling Temperature Rate Capture" eventfld.long 0x00 31. "V,Valid Reading" "0: Temperature reading is not valid because of..,1: Temperature reading is valid" hexmask.long.byte 0x00 0.--7. 1. "TEMP,Highest Falling Temperature Rate Change in Kelvin that Any Enabled Monitored Site Records" group.long 0x50++0x03 line.long 0x00 "TMHTITR,Monitor High Temperature Immediate Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,High Temperature Immediate Threshold Value" group.long 0x54++0x03 line.long 0x00 "TMHTATR,Monitor High Temperature Average Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,High Temperature Average Threshold Value" group.long 0x58++0x03 line.long 0x00 "TMHTACTR,Monitor High Temperature Average Critical Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,High Temperature Average Critical Threshold Value" group.long 0x60++0x03 line.long 0x00 "TMLTITR,Monitor Low Temperature Immediate Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,Low Temperature Immediate Threshold Value" group.long 0x64++0x03 line.long 0x00 "TMLTATR,Monitor Low Temperature Average Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,Low Temperature Average Threshold Value" group.long 0x68++0x03 line.long 0x00 "TMLTACTR,Monitor Low Temperature Average Critical Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.word 0x00 0.--8. 1. "TEMP,Low Temperature Average Critical Threshold Value" group.long 0x70++0x03 line.long 0x00 "TMRTRCTR,Monitor Rising Temperature Rate Critical Threshold" bitfld.long 0x00 31. "EN,Enable Threshold" "0: Disabled,1: Threshold enabled" hexmask.long.byte 0x00 0.--7. 1. "TEMP,Temperature Difference Between Two Measurements From the Same Site" group.long 0x74++0x03 line.long 0x00 "TMFTRCTR,Monitor Falling Temperature Rate Critical Threshold" bitfld.long 0x00 31. "EN,Enable threshold" "0: Disabled,1: Threshold Enabled" hexmask.long.byte 0x00 0.--7. 1. "TEMP,Temperature Difference Between Two Measurements From the Same Site" group.long 0x80++0x03 line.long 0x00 "TTCFGR,Temperature Configuration" bitfld.long 0x00 0.--3. "CAL_PT,Calibration Point" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x84++0x03 line.long 0x00 "TSCFGR,Sensor Configuration" hexmask.long.word 0x00 0.--8. 1. "SENSOR,Sensor Value" wgroup.long 0x90++0x03 line.long 0x00 "TTCR,Temperature Calibration" rgroup.long 0xBF8++0x03 line.long 0x00 "IPBRR0,IP Block Revision 0" hexmask.long.word 0x00 16.--31. 1. "IP_ID,IP block ID" hexmask.long.byte 0x00 8.--15. 1. "IP_MJ,Major revision" newline hexmask.long.byte 0x00 0.--7. 1. "IP_MN,Minor revision" rgroup.long 0xBFC++0x03 line.long 0x00 "IPBRR1,IP Block Revision 1" hexmask.long.byte 0x00 16.--23. 1. "IP_INT,IP block integration options" hexmask.long.byte 0x00 8.--15. 1. "IP_MNT,IP block maintenance version" newline hexmask.long.byte 0x00 0.--7. 1. "IP_CFG,IP block configuration options Bits Configuration 7-4 Number of temperature sensor sites" group.long 0xF00++0x03 line.long 0x00 "TCMCFG,Central module configuration" bitfld.long 0x00 31. "DPM,Dynamic power management" "0,1" bitfld.long 0x00 30. "OCM,Offset cancellation mode" "0,1" newline bitfld.long 0x00 29. "OCS,Offset cancellation manual setting" "0,1" bitfld.long 0x00 28. "DEMA,Dynamic element match averaging mode" "0,1" newline bitfld.long 0x00 24.--26. "RCTC,RC time constant setting" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16. "SAR_RDY,SAR ready" "0,1" newline bitfld.long 0x00 12.--15. "CLK_DIV,Clock divider Clock divider for SAR clock based on platform clock" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 10.--11. "DFD,Digital filter depth" "0,1,2,3" newline bitfld.long 0x00 8.--9. "CMET,Central module enable time" "0,1,2,3" hexmask.long.byte 0x00 0.--6. 1. "DAC_OFFSET,DAC offset" group.long 0xF04++0x03 line.long 0x00 "TEUMR1,Engineering Use Mode 1" hexmask.long.word 0x00 16.--25. 1. "TMU_CFG,TMU config bits" hexmask.long.byte 0x00 0.--7. 1. "TPA_ANA_CFG,TPA analog control bits" group.long 0xF08++0x03 line.long 0x00 "TEUMR2,Engineering Use Mode 2" bitfld.long 0x00 12.--15. "CM_SITE,Central module site input setting applicable when mode TMR[MODE]=11" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--8. 1. "CM_TDAC,Central module DAC input setting applicable when mode TMR[MODE]=11" group.long 0xF0C++0x03 line.long 0x00 "TDEMAR,Dynamic Element Match Averaging" bitfld.long 0x00 24.--27. "NUM,Number of BJTs used for ratio" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--15. 1. "RATIO,Bipolar junction transistor select for element matching" repeat 16. (increment 0 1) (increment 0 0x04) group.long ($2+0xF10)++0x03 line.long 0x00 "TTRCR[$1],Temperature Range Control index $1" bitfld.long 0x00 31. "V,Calibration Point is Valid" "0: Not valid,1: Valid" hexmask.long.word 0x00 0.--8. 1. "TEMP,Temperature in Kelvin for the Calibration Point" repeat.end repeat 16. (increment 0 1)(increment 0 0x10) tree "TRITRATSR[$1]" rgroup.long ($2+0x100)++0x03 line.long 0x00 "TRITSR,Report Immediate Temperature at Site" bitfld.long 0x00 31. "V,Valid Measured Temperature" "0: Not valid temperature is out of sensor range..,1: Valid" bitfld.long 0x00 9. "TP5,Last Temperature Reading in Kelvin at the Site" "0,1" hexmask.long.word 0x00 0.--8. 1. "TEMP,Last Temperature Reading in Kelvin at the Site" rgroup.long ($2+0x104)++0x03 line.long 0x00 "TRATSR,Report Average Temperature at Site" bitfld.long 0x00 31. "V,Valid Measured Temperature" "0: Not valid temperature is out of sensor range..,1: Valid" hexmask.long.word 0x00 0.--8. 1. "TEMP,Average Temperature Reading in Kelvin at the Site" tree.end repeat.end repeat 16. (increment 0 1)(increment 0 0x210) tree "num_site_cal[$1]" rgroup.long ($2+0x300)++0x03 line.long 0x00 "TSCR,Sensor Calibration index" hexmask.long.word 0x00 0.--8. 1. "SENSOR,Sensor reading" group.long ($2+0x304)++0x03 line.long 0x00 "TMSAR,Monitoring Site Adjustment index" bitfld.long 0x00 0.--4. "DAC,Site 0 sensor reading adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end repeat.end tree.end tree "TRDC" base ad:0x44270000 group.long 0x00++0x03 line.long 0x00 "TRDC_CR,TRDC Register" bitfld.long 0x00 30. "LK1,Lock Status" "0: The CR can be written by any secure privileged,1: The CR is locked (read-only) until the next.." rbitfld.long 0x00 16.--19. "DBDS,Deny by Default (DBD) Status" "0: Not in Deny by Default window,?,?,?,?,?,?,?,8: In Deny by default and access controlled by..,9: In Deny by default and access controlled by..,10: In Deny by default and access controlled by..,11: In Deny by default and access controlled by..,12: In Deny by default and access controlled by..,?,14: In Deny by default and access controlled by..,15: In Deny by default window and all accesses.." newline bitfld.long 0x00 15. "GVLDR,Global Valid for Memory Region Checkers" "0: TRDC MRCs are disabled,1: TRDC MRCs are enabled" bitfld.long 0x00 14. "GVLDB,Global Valid for Memory Block Checkers" "0: TRDC MBCs are disabled,1: TRDC MBCs are enabled" newline rbitfld.long 0x00 1.--4. "HRL,Hardware Revision Level" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. "GVLDM,Global Valid for Domain Assignment Controllers" "0: TRDC DACs are disabled,1: TRDC DACs are enabled" rgroup.long 0xF0++0x03 line.long 0x00 "TRDC_HWCFG0,TRDC Hardware Configuration Register 0" bitfld.long 0x00 29.--31. "MID,Module ID" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "NMRC,Number of MRCs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--19. "NMBC,Number of MBCs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "NMSTR,Number of bus masters" newline bitfld.long 0x00 0.--4. "NDID,Number of domains" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xF4++0x03 line.long 0x00 "TRDC_HWCFG1,TRDC Hardware Configuration Register 1" bitfld.long 0x00 0.--3. "DID,Domain identifier number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 2. (strings "2" "3" )(list 0x0 0x4 ) rgroup.long ($2+0xF8)++0x03 line.long 0x00 "TRDC_HWCFG$1,TRDC Hardware Configuration Register $1" hexmask.long 0x00 0.--31. 1. "PIDPn,Process identifier present" repeat.end repeat 4. (strings "1" "2" "3" "4" )(list 0x00 0x01 0x02 0x03 ) rgroup.byte ($2+0x101)++0x00 line.byte 0x00 "DACFG$1,Domain Assignment Configuration Register" bitfld.byte 0x00 7. "NCM,Non-CPU Master" "0: Bus master is a processor,1: Bus master is a non-processor" bitfld.byte 0x00 0.--3. "NMDAR,Number of master domain assignment registers for bus master m" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end group.long 0x1C0++0x03 line.long 0x00 "TRDC_IDAU_CR,TRDC IDAU Control Register" rbitfld.long 0x00 31. "PCURRNS,Processor current security" "0: Processor is in Secure state,1: Processor is in Nonsecure state" bitfld.long 0x00 12. "LKSAU,Lock SAU" "0: Unlock these registers,1: Disable writes to the SAU_CTRL SAU_RNR.." newline bitfld.long 0x00 11. "LKNSMPU,Lock Nonsecure MPU" "0: Unlock these registers,1: Disable writes to the MPU_CTRL_NS MPU_RNR_NS.." bitfld.long 0x00 10. "LKSMPU,Lock Secure MPU" "0: Unlock these registers,1: Disable writes to the MPU_CTRL MPU_RNR.." newline bitfld.long 0x00 9. "LKNSVTOR,Lock Nonsecure Vector Table Offset Register" "0: Unlock this register,1: Disable writes to the VTOR_NS register" bitfld.long 0x00 8. "LKSVTAIRCR,Lock Secure VTOR Application interrupt and Reset Control Registers" "0: Unlock these registers,1: Disable writes to the VTOR_S AIRCR[PRIS] and.." newline rbitfld.long 0x00 6. "SAUDIS,Security Attribution Unit Disable" "0: SAU is enabled,1: SAU is disabled" rbitfld.long 0x00 5. "MPUNSDIS,NonSecure Memory Protection Unit Disabled" "0: Nonsecure MPU is enabled,1: Nonsecure MPU is disabled" newline rbitfld.long 0x00 4. "MPUSDIS,Secure Memory Protection Unit Disabled" "0: Secure MPU is enabled,1: Secure MPU is disabled" rbitfld.long 0x00 3. "CFGSECEXT,Configure Security Extension" "0: ARMv8M Security Extension is disabled,1: ARMv8-M Security Extension is enabled" newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x1E0++0x03 line.long 0x00 "TRDC_FLW_CTL,TRDC FLW Control" bitfld.long 0x00 31. "V,Valid bit" "0: FLW function is disabled,1: FLW function is enabled" bitfld.long 0x00 30. "LK,Lock bit" "0: FLW register may be modified,1: FLW registers are locked until the next reset" rgroup.long 0x1E4++0x03 line.long 0x00 "TRDC_FLW_PBASE,TRDC FLW Physical Base" hexmask.long 0x00 0.--31. 1. "PBASE,Physical base address" group.long 0x1E8++0x03 line.long 0x00 "TRDC_FLW_ABASE,TRDC FLW Array Base" hexmask.long.word 0x00 22.--31. 1. "ABASE_H,Array base address high" hexmask.long.byte 0x00 15.--21. 1. "ABASE_L,Array base address low" group.long 0x1EC++0x03 line.long 0x00 "TRDC_FLW_BCNT,TRDC FLW Block Count" hexmask.long.word 0x00 0.--14. 1. "BCNT,Block Count" group.long 0x1FC++0x03 line.long 0x00 "TRDC_FDID,TRDC Fault Domain ID" bitfld.long 0x00 0.--3. "FDID,Domain ID of Faulted Access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 16. (increment 0 1) (increment 0 0x04) rgroup.long ($2+0x200)++0x03 line.long 0x00 "TRDC_DERRLOC[$1],TRDC Domain Error Location Register $1" hexmask.long.word 0x00 16.--31. 1. "MRCINST,MRC instance" hexmask.long.byte 0x00 0.--7. 1. "MBCINST,MRC instance" repeat.end rgroup.long 0x480++0x03 line.long 0x00 "MRC0_DERR_W0,MRC Domain Error Word0 Register" hexmask.long 0x00 0.--31. 1. "EADDR,Error address" rgroup.long 0x484++0x03 line.long 0x00 "MRC0_DERR_W1,MRC Domain Error Word1 Register" bitfld.long 0x00 30.--31. "EST,Error state" "0: No access violation has been detected,1: No access violation has been detected,2: A single access violation has been detected,3: Multiple access violations for this domain.." bitfld.long 0x00 24.--26. "EPORT,Error port" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "ERW,Error read/" "0: Read access,1: Write access" bitfld.long 0x00 8.--10. "EATR,Error attributes" "0: Secure user mode instruction fetch access,1: Secure user mode data access,2: Secure privileged mode instruction fetch access,3: Secure privileged mode data access,4: Nonsecure user mode instruction fetch access,5: Nonsecure user mode data access,6: Nonsecure privileged mode instruction fetch..,7: Nonsecure privileged mode data access" newline bitfld.long 0x00 0.--3. "EDID,Error domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x48C++0x03 line.long 0x00 "MRC0_DERR_W3,MRC Domain Error Word3 Register" bitfld.long 0x00 30.--31. "RECR,Rearm Error Capture Registers" "0,1,2,3" group.long 0x704++0x03 line.long 0x00 "PID1,Process Identifier" bitfld.long 0x00 29.--30. "LK2,Lock" "0: Register can be written by any secure..,1: Register can be written by any secure..,2: Register can only be written by a secure..,3: Register is locked (read-only) until the next.." bitfld.long 0x00 0.--5. "PID,Process identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x820++0x03 line.long 0x00 "MDA_W0_1_DFMT0,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 16.--21. "PID,Process Identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 14.--15. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 8.--13. "PIDM,Process Identifier Mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 6.--7. "PE,Process identifier enable" "0: No process identifier is included in the..,1: No process identifier is included in the..,2: PE = 2,3: PE = 3" bitfld.long 0x00 4.--5. "DIDS,DID Select" "0: Use MDAm[3:0] as the domain identifier,1: Use the input DID as the domain identifier,2: Use MDAm[3:2] concatenated with the low-order..,?..." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x824++0x03 line.long 0x00 "MDA_W1_1_DFMT0,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 16.--21. "PID,Process Identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 14.--15. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 8.--13. "PIDM,Process Identifier Mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 6.--7. "PE,Process identifier enable" "0: No process identifier is included in the..,1: No process identifier is included in the..,2: PE = 2,3: PE = 3" bitfld.long 0x00 4.--5. "DIDS,DID Select" "0: Use MDAm[3:0] as the domain identifier,1: Use the input DID as the domain identifier,2: Use MDAm[3:2] concatenated with the low-order..,?..." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x828++0x03 line.long 0x00 "MDA_W2_1_DFMT0,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 16.--21. "PID,Process Identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 14.--15. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 8.--13. "PIDM,Process Identifier Mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 6.--7. "PE,Process identifier enable" "0: No process identifier is included in the..,1: No process identifier is included in the..,2: PE = 2,3: PE = 3" bitfld.long 0x00 4.--5. "DIDS,DID Select" "0: Use MDAm[3:0] as the domain identifier,1: Use the input DID as the domain identifier,2: Use MDAm[3:2] concatenated with the low-order..,?..." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x840++0x03 line.long 0x00 "MDA_W0_2_DFMT1,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 8. "DIDB,DID Bypass" "0: Use MDAn[3:0] as the domain identifier,1: Use the DID input as the domain identifier" newline bitfld.long 0x00 6.--7. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 4.--5. "PA,Privileged attribute" "0: Force the bus attribute for this master to user,1: Force the bus attribute for this master to..,2: Use the bus master's privileged/user..,3: Use the bus master's privileged/user.." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x860++0x03 line.long 0x00 "MDA_W0_3_DFMT1,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 8. "DIDB,DID Bypass" "0: Use MDAn[3:0] as the domain identifier,1: Use the DID input as the domain identifier" newline bitfld.long 0x00 6.--7. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 4.--5. "PA,Privileged attribute" "0: Force the bus attribute for this master to user,1: Force the bus attribute for this master to..,2: Use the bus master's privileged/user..,3: Use the bus master's privileged/user.." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x880++0x03 line.long 0x00 "MDA_W0_4_DFMT1,DAC Master Domain Assignment Register" bitfld.long 0x00 31. "VLD,Valid" "0: The Wr domain assignment is invalid,1: The Wr domain assignment is valid" bitfld.long 0x00 30. "LK1,1-bit Lock" "0: Register can be written by any secure..,1: Register is locked (read-only) until the next.." newline rbitfld.long 0x00 29. "DFMT,Domain format" "0: Processor-core domain assignment,1: Non-processor domain assignment" bitfld.long 0x00 8. "DIDB,DID Bypass" "0: Use MDAn[3:0] as the domain identifier,1: Use the DID input as the domain identifier" newline bitfld.long 0x00 6.--7. "SA,Secure attribute" "0: Force the bus attribute for this master to..,1: Force the bus attribute for this master to..,2: Use the bus master's secure/nonsecure..,3: Use the bus master's secure/nonsecure.." bitfld.long 0x00 4.--5. "PA,Privileged attribute" "0: Force the bus attribute for this master to user,1: Force the bus attribute for this master to..,2: Use the bus master's privileged/user..,3: Use the bus master's privileged/user.." newline bitfld.long 0x00 0.--3. "DID,Domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x10000++0x03 line.long 0x00 "MBC0_MEM0_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x10004++0x03 line.long 0x00 "MBC0_MEM1_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x10008++0x03 line.long 0x00 "MBC0_MEM2_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x1000C++0x03 line.long 0x00 "MBC0_MEM3_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" group.long 0x10010++0x03 line.long 0x00 "MBC0_NSE_BLK_INDEX,MBC NonSecure Enable Block Index" bitfld.long 0x00 31. "DID_SEL15,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 30. "DID_SEL14,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 29. "DID_SEL13,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 28. "DID_SEL12,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 27. "DID_SEL11,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 26. "DID_SEL10,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 25. "DID_SEL9,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 24. "DID_SEL8,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 23. "DID_SEL7,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 22. "DID_SEL6,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 21. "DID_SEL5,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 20. "DID_SEL4,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 19. "DID_SEL3,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 18. "DID_SEL2,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 17. "DID_SEL1,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 16. "DID_SEL0,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 8.--11. "MEM_SEL,Memory Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 2.--5. "WNDX,Word index into the block NSE bitmap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "AI,Auto Increment" "0,1" group.long 0x10014++0x03 line.long 0x00 "MBC0_NSE_BLK_SET,MBC NonSecure Enable Block Set" hexmask.long 0x00 0.--31. 1. "W1SET,Write 1 Set" group.long 0x10018++0x03 line.long 0x00 "MBC0_NSE_BLK_CLR,MBC NonSecure Enable Block Clear" hexmask.long 0x00 0.--31. 1. "W1CLR,Write 1 Clear" group.long 0x1001C++0x03 line.long 0x00 "MBC0_NSE_BLK_CLR_ALL,MBC NonSecure Enable Block Clear All" bitfld.long 0x00 31. "DID_SEL15,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 30. "DID_SEL14,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 29. "DID_SEL13,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 28. "DID_SEL12,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 27. "DID_SEL11,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 26. "DID_SEL10,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 25. "DID_SEL9,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 24. "DID_SEL8,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 23. "DID_SEL7,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 22. "DID_SEL6,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 21. "DID_SEL5,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 20. "DID_SEL4,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 19. "DID_SEL3,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 18. "DID_SEL2,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 17. "DID_SEL1,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 16. "DID_SEL0,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 8.--11. "MEMSEL,Memory Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x10020++0x03 line.long 0x00 "MBC0_MEMN_GLBAC0,MBC Global Access Control" bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." newline bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" newline bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" newline bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." newline bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" newline bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat 7. (strings "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 ) group.long ($2+0x10024)++0x03 line.long 0x00 "MBC0_MEMN_GLBAC$1,MBC Global Access Control" bitfld.long 0x00 31. "LK,LOCK" "0: This register is not locked and can be altered,1: This register is locked and cannot be altered" bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" newline bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." newline bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" newline bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." newline bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." newline bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" newline bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat.end repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10040)++0x03 line.long 0x00 "MBC0_DOM0_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10140)++0x03 line.long 0x00 "MBC0_DOM0_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10180++0x03 line.long 0x00 "MBC0_DOM0_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x101A0++0x03 line.long 0x00 "MBC0_DOM0_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x101A8++0x03 line.long 0x00 "MBC0_DOM0_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x101C8++0x03 line.long 0x00 "MBC0_DOM0_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10240)++0x03 line.long 0x00 "MBC0_DOM1_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10340)++0x03 line.long 0x00 "MBC0_DOM1_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10380++0x03 line.long 0x00 "MBC0_DOM1_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x103A0++0x03 line.long 0x00 "MBC0_DOM1_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x103A8++0x03 line.long 0x00 "MBC0_DOM1_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x103C8++0x03 line.long 0x00 "MBC0_DOM1_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10440)++0x03 line.long 0x00 "MBC0_DOM2_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10540)++0x03 line.long 0x00 "MBC0_DOM2_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10580++0x03 line.long 0x00 "MBC0_DOM2_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x105A0++0x03 line.long 0x00 "MBC0_DOM2_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x105A8++0x03 line.long 0x00 "MBC0_DOM2_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x105C8++0x03 line.long 0x00 "MBC0_DOM2_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10640)++0x03 line.long 0x00 "MBC0_DOM3_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10740)++0x03 line.long 0x00 "MBC0_DOM3_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10780++0x03 line.long 0x00 "MBC0_DOM3_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x107A0++0x03 line.long 0x00 "MBC0_DOM3_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x107A8++0x03 line.long 0x00 "MBC0_DOM3_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x107C8++0x03 line.long 0x00 "MBC0_DOM3_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10840)++0x03 line.long 0x00 "MBC0_DOM4_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10940)++0x03 line.long 0x00 "MBC0_DOM4_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10980++0x03 line.long 0x00 "MBC0_DOM4_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x109A0++0x03 line.long 0x00 "MBC0_DOM4_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x109A8++0x03 line.long 0x00 "MBC0_DOM4_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x109C8++0x03 line.long 0x00 "MBC0_DOM4_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10A40)++0x03 line.long 0x00 "MBC0_DOM5_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10B40)++0x03 line.long 0x00 "MBC0_DOM5_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10B80++0x03 line.long 0x00 "MBC0_DOM5_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10BA0++0x03 line.long 0x00 "MBC0_DOM5_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x10BA8++0x03 line.long 0x00 "MBC0_DOM5_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10BC8++0x03 line.long 0x00 "MBC0_DOM5_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10C40)++0x03 line.long 0x00 "MBC0_DOM6_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10D40)++0x03 line.long 0x00 "MBC0_DOM6_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10D80++0x03 line.long 0x00 "MBC0_DOM6_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10DA0++0x03 line.long 0x00 "MBC0_DOM6_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x10DA8++0x03 line.long 0x00 "MBC0_DOM6_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10DC8++0x03 line.long 0x00 "MBC0_DOM6_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x10E40)++0x03 line.long 0x00 "MBC0_DOM7_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10F40)++0x03 line.long 0x00 "MBC0_DOM7_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x10F80++0x03 line.long 0x00 "MBC0_DOM7_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10FA0++0x03 line.long 0x00 "MBC0_DOM7_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x10FA8++0x03 line.long 0x00 "MBC0_DOM7_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x10FC8++0x03 line.long 0x00 "MBC0_DOM7_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11040)++0x03 line.long 0x00 "MBC0_DOM8_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11140)++0x03 line.long 0x00 "MBC0_DOM8_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11180++0x03 line.long 0x00 "MBC0_DOM8_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x111A0++0x03 line.long 0x00 "MBC0_DOM8_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x111A8++0x03 line.long 0x00 "MBC0_DOM8_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x111C8++0x03 line.long 0x00 "MBC0_DOM8_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11240)++0x03 line.long 0x00 "MBC0_DOM9_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11340)++0x03 line.long 0x00 "MBC0_DOM9_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11380++0x03 line.long 0x00 "MBC0_DOM9_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x113A0++0x03 line.long 0x00 "MBC0_DOM9_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x113A8++0x03 line.long 0x00 "MBC0_DOM9_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x113C8++0x03 line.long 0x00 "MBC0_DOM9_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11440)++0x03 line.long 0x00 "MBC0_DOM10_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11540)++0x03 line.long 0x00 "MBC0_DOM10_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11580++0x03 line.long 0x00 "MBC0_DOM10_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x115A0++0x03 line.long 0x00 "MBC0_DOM10_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x115A8++0x03 line.long 0x00 "MBC0_DOM10_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x115C8++0x03 line.long 0x00 "MBC0_DOM10_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11640)++0x03 line.long 0x00 "MBC0_DOM11_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11740)++0x03 line.long 0x00 "MBC0_DOM11_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11780++0x03 line.long 0x00 "MBC0_DOM11_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x117A0++0x03 line.long 0x00 "MBC0_DOM11_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x117A8++0x03 line.long 0x00 "MBC0_DOM11_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x117C8++0x03 line.long 0x00 "MBC0_DOM11_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11840)++0x03 line.long 0x00 "MBC0_DOM12_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11940)++0x03 line.long 0x00 "MBC0_DOM12_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11980++0x03 line.long 0x00 "MBC0_DOM12_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x119A0++0x03 line.long 0x00 "MBC0_DOM12_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x119A8++0x03 line.long 0x00 "MBC0_DOM12_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x119C8++0x03 line.long 0x00 "MBC0_DOM12_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11A40)++0x03 line.long 0x00 "MBC0_DOM13_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11B40)++0x03 line.long 0x00 "MBC0_DOM13_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11B80++0x03 line.long 0x00 "MBC0_DOM13_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11BA0++0x03 line.long 0x00 "MBC0_DOM13_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x11BA8++0x03 line.long 0x00 "MBC0_DOM13_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11BC8++0x03 line.long 0x00 "MBC0_DOM13_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11C40)++0x03 line.long 0x00 "MBC0_DOM14_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11D40)++0x03 line.long 0x00 "MBC0_DOM14_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11D80++0x03 line.long 0x00 "MBC0_DOM14_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11DA0++0x03 line.long 0x00 "MBC0_DOM14_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x11DA8++0x03 line.long 0x00 "MBC0_DOM14_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11DC8++0x03 line.long 0x00 "MBC0_DOM14_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat 16. (strings "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "10" "11" "12" "13" "14" "15" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x11E40)++0x03 line.long 0x00 "MBC0_DOM15_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x11F40)++0x03 line.long 0x00 "MBC0_DOM15_MEM0_BLK_NSE_W$1,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." repeat.end group.long 0x11F80++0x03 line.long 0x00 "MBC0_DOM15_MEM1_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11FA0++0x03 line.long 0x00 "MBC0_DOM15_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." group.long 0x11FA8++0x03 line.long 0x00 "MBC0_DOM15_MEM2_BLK_CFG_W0,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." group.long 0x11FC8++0x03 line.long 0x00 "MBC0_DOM15_MEM2_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure and nonsecure accesses to block B are.." rgroup.long 0x12000++0x03 line.long 0x00 "MBC1_MEM0_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x12004++0x03 line.long 0x00 "MBC1_MEM1_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x12008++0x03 line.long 0x00 "MBC1_MEM2_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" rgroup.long 0x1200C++0x03 line.long 0x00 "MBC1_MEM3_GLBCFG,MBC Global Configuration Register" bitfld.long 0x00 16.--20. "SIZE_LOG2,Log2 size per block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--9. 1. "NBLKS,Number of blocks in this memory" group.long 0x12010++0x03 line.long 0x00 "MBC1_NSE_BLK_INDEX,MBC NonSecure Enable Block Index" bitfld.long 0x00 31. "DID_SEL15,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 30. "DID_SEL14,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 29. "DID_SEL13,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 28. "DID_SEL12,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 27. "DID_SEL11,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 26. "DID_SEL10,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 25. "DID_SEL9,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 24. "DID_SEL8,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 23. "DID_SEL7,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 22. "DID_SEL6,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 21. "DID_SEL5,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 20. "DID_SEL4,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 19. "DID_SEL3,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 18. "DID_SEL2,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 17. "DID_SEL1,DID Select" "0: No effect,1: Selects NSE bits for this domain" bitfld.long 0x00 16. "DID_SEL0,DID Select" "0: No effect,1: Selects NSE bits for this domain" newline bitfld.long 0x00 8.--11. "MEM_SEL,Memory Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 2.--5. "WNDX,Word index into the block NSE bitmap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "AI,Auto Increment" "0,1" group.long 0x12014++0x03 line.long 0x00 "MBC1_NSE_BLK_SET,MBC NonSecure Enable Block Set" hexmask.long 0x00 0.--31. 1. "W1SET,Write 1 Set" group.long 0x12018++0x03 line.long 0x00 "MBC1_NSE_BLK_CLR,MBC NonSecure Enable Block Clear" hexmask.long 0x00 0.--31. 1. "W1CLR,Write 1 Clear" group.long 0x1201C++0x03 line.long 0x00 "MBC1_NSE_BLK_CLR_ALL,MBC NonSecure Enable Block Clear All" bitfld.long 0x00 31. "DID_SEL15,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 30. "DID_SEL14,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 29. "DID_SEL13,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 28. "DID_SEL12,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 27. "DID_SEL11,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 26. "DID_SEL10,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 25. "DID_SEL9,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 24. "DID_SEL8,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 23. "DID_SEL7,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 22. "DID_SEL6,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 21. "DID_SEL5,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 20. "DID_SEL4,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 19. "DID_SEL3,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 18. "DID_SEL2,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 17. "DID_SEL1,DID Select" "0: No effect,1: Clear all NSE bits for this domain" bitfld.long 0x00 16. "DID_SEL0,DID Select" "0: No effect,1: Clear all NSE bits for this domain" newline bitfld.long 0x00 8.--11. "MEMSEL,Memory Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x12020++0x03 line.long 0x00 "MBC1_MEMN_GLBAC0,MBC Global Access Control" bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." newline bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" newline bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" newline bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." newline bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" newline bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat 7. (strings "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 ) group.long ($2+0x12024)++0x03 line.long 0x00 "MBC1_MEMN_GLBAC$1,MBC Global Access Control" bitfld.long 0x00 31. "LK,LOCK" "0: This register is not locked and can be altered,1: This register is locked and cannot be altered" bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" newline bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." newline bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" newline bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." newline bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." newline bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" newline bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat.end repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12040)++0x03 line.long 0x00 "MBC1_DOM0_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12140++0x03 line.long 0x00 "MBC1_DOM0_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12180)++0x03 line.long 0x00 "MBC1_DOM0_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x121A0++0x03 line.long 0x00 "MBC1_DOM0_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12240)++0x03 line.long 0x00 "MBC1_DOM1_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12340++0x03 line.long 0x00 "MBC1_DOM1_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12380)++0x03 line.long 0x00 "MBC1_DOM1_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x123A0++0x03 line.long 0x00 "MBC1_DOM1_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12440)++0x03 line.long 0x00 "MBC1_DOM2_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12540++0x03 line.long 0x00 "MBC1_DOM2_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12580)++0x03 line.long 0x00 "MBC1_DOM2_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x125A0++0x03 line.long 0x00 "MBC1_DOM2_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12640)++0x03 line.long 0x00 "MBC1_DOM3_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12740++0x03 line.long 0x00 "MBC1_DOM3_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12780)++0x03 line.long 0x00 "MBC1_DOM3_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x127A0++0x03 line.long 0x00 "MBC1_DOM3_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12840)++0x03 line.long 0x00 "MBC1_DOM4_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12940++0x03 line.long 0x00 "MBC1_DOM4_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12980)++0x03 line.long 0x00 "MBC1_DOM4_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x129A0++0x03 line.long 0x00 "MBC1_DOM4_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12A40)++0x03 line.long 0x00 "MBC1_DOM5_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12B40++0x03 line.long 0x00 "MBC1_DOM5_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12B80)++0x03 line.long 0x00 "MBC1_DOM5_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12BA0++0x03 line.long 0x00 "MBC1_DOM5_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12C40)++0x03 line.long 0x00 "MBC1_DOM6_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12D40++0x03 line.long 0x00 "MBC1_DOM6_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12D80)++0x03 line.long 0x00 "MBC1_DOM6_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12DA0++0x03 line.long 0x00 "MBC1_DOM6_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12E40)++0x03 line.long 0x00 "MBC1_DOM7_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12F40++0x03 line.long 0x00 "MBC1_DOM7_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x12F80)++0x03 line.long 0x00 "MBC1_DOM7_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x12FA0++0x03 line.long 0x00 "MBC1_DOM7_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13040)++0x03 line.long 0x00 "MBC1_DOM8_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13140++0x03 line.long 0x00 "MBC1_DOM8_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13180)++0x03 line.long 0x00 "MBC1_DOM8_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x131A0++0x03 line.long 0x00 "MBC1_DOM8_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13240)++0x03 line.long 0x00 "MBC1_DOM9_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13340++0x03 line.long 0x00 "MBC1_DOM9_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13380)++0x03 line.long 0x00 "MBC1_DOM9_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x133A0++0x03 line.long 0x00 "MBC1_DOM9_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13440)++0x03 line.long 0x00 "MBC1_DOM10_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13540++0x03 line.long 0x00 "MBC1_DOM10_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13580)++0x03 line.long 0x00 "MBC1_DOM10_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x135A0++0x03 line.long 0x00 "MBC1_DOM10_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13640)++0x03 line.long 0x00 "MBC1_DOM11_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13740++0x03 line.long 0x00 "MBC1_DOM11_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13780)++0x03 line.long 0x00 "MBC1_DOM11_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x137A0++0x03 line.long 0x00 "MBC1_DOM11_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13840)++0x03 line.long 0x00 "MBC1_DOM12_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13940++0x03 line.long 0x00 "MBC1_DOM12_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13980)++0x03 line.long 0x00 "MBC1_DOM12_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x139A0++0x03 line.long 0x00 "MBC1_DOM12_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13A40)++0x03 line.long 0x00 "MBC1_DOM13_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13B40++0x03 line.long 0x00 "MBC1_DOM13_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13B80)++0x03 line.long 0x00 "MBC1_DOM13_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13BA0++0x03 line.long 0x00 "MBC1_DOM13_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13C40)++0x03 line.long 0x00 "MBC1_DOM14_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13D40++0x03 line.long 0x00 "MBC1_DOM14_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13D80)++0x03 line.long 0x00 "MBC1_DOM14_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13DA0++0x03 line.long 0x00 "MBC1_DOM14_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13E40)++0x03 line.long 0x00 "MBC1_DOM15_MEM0_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13F40++0x03 line.long 0x00 "MBC1_DOM15_MEM0_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." repeat 4. (strings "0" "1" "2" "3" )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x13F80)++0x03 line.long 0x00 "MBC1_DOM15_MEM1_BLK_CFG_W$1,MBC Memory Block Configuration Word" bitfld.long 0x00 31. "NSE7,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28.--30. "MBACSEL7,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 27. "NSE6,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24.--26. "MBACSEL6,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 23. "NSE5,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20.--22. "MBACSEL5,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 19. "NSE4,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16.--18. "MBACSEL4,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 15. "NSE3,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12.--14. "MBACSEL3,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 11. "NSE2,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8.--10. "MBACSEL2,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 7. "NSE1,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4.--6. "MBACSEL1,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." newline bitfld.long 0x00 3. "NSE0,NonSecure Enable for block B" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0.--2. "MBACSEL0,Memory Block Access Control Select for block B" "0: select MBC_MEMN_GLBAC0 access control policy..,1: select MBC_MEMN_GLBAC1 access control policy..,2: select MBC_MEMN_GLBAC2 access control policy..,3: select MBC_MEMN_GLBAC3 access control policy..,4: select MBC_MEMN_GLBAC4 access control policy..,5: select MBC_MEMN_GLBAC5 access control policy..,6: select MBC_MEMN_GLBAC6 access control policy..,7: select MBC_MEMN_GLBAC7 access control policy.." repeat.end group.long 0x13FA0++0x03 line.long 0x00 "MBC1_DOM15_MEM1_BLK_NSE_W0,MBC Memory Block NonSecure Enable Word" bitfld.long 0x00 31. "BIT31,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 30. "BIT30,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 29. "BIT29,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 28. "BIT28,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 27. "BIT27,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 26. "BIT26,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 25. "BIT25,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 24. "BIT24,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 23. "BIT23,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 22. "BIT22,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 21. "BIT21,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 20. "BIT20,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 19. "BIT19,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 18. "BIT18,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 17. "BIT17,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 16. "BIT16,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 15. "BIT15,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 14. "BIT14,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 13. "BIT13,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 12. "BIT12,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 11. "BIT11,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 10. "BIT10,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 9. "BIT9,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 8. "BIT8,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 7. "BIT7,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 6. "BIT6,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 5. "BIT5,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 4. "BIT4,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 3. "BIT3,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 2. "BIT2,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." newline bitfld.long 0x00 1. "BIT1,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." bitfld.long 0x00 0. "BIT0,Bit b NonSecure Enable [b = 0 - 31]" "0: Secure accesses to block B are based on..,1: Secure accesses to block B are are not.." rgroup.long 0x14000++0x03 line.long 0x00 "MRC0_GLBCFG,MRC Global Configuration Register" bitfld.long 0x00 0.--4. "NRGNS,Number of regions [1-16]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x14010++0x03 line.long 0x00 "MRC0_NSE_RGN_INDIRECT,MRC NonSecure Enable Region Indirect" hexmask.long.word 0x00 16.--31. 1. "DID_SEL,DID Select" group.long 0x14014++0x03 line.long 0x00 "MRC0_NSE_RGN_SET,MRC NonSecure Enable Region Set" hexmask.long.word 0x00 0.--15. 1. "W1SET,Write 1 Set" group.long 0x14018++0x03 line.long 0x00 "MRC0_NSE_RGN_CLR,MRC NonSecure Enable Region Clear" hexmask.long.word 0x00 0.--15. 1. "W1CLR,Write 1 Clear" group.long 0x1401C++0x03 line.long 0x00 "MRC0_NSE_RGN_CLR_ALL,MRC NonSecure Enable Region Clear All" hexmask.long.word 0x00 16.--31. 1. "DID_SEL,DID Select" group.long 0x14020++0x03 line.long 0x00 "MRC0_GLBAC0,MRC Global Access Control" bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." newline bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" newline bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" newline bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." newline bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" newline bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat 7. (strings "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 ) group.long ($2+0x14024)++0x03 line.long 0x00 "MRC0_GLBAC$1,MRC Global Access Control" bitfld.long 0x00 31. "LK,LOCK" "0: This register is not locked and can be altered,1: This register is locked (read-only) and.." bitfld.long 0x00 14. "SPR,SecurePriv" "0: Read access is not allowed in Secure..,1: Read access is allowed in Secure Privilege mode" newline bitfld.long 0x00 13. "SPW,SecurePriv" "0: Write access is not allowed in Secure..,1: Write access is allowed in Secure Privilege.." bitfld.long 0x00 12. "SPX,SecurePriv Execute" "0: Execute access is not allowed in Secure..,1: Execute access is allowed in Secure Privilege.." newline bitfld.long 0x00 10. "SUR,SecureUser" "0: Read access is not allowed in Secure User mode,1: Read access is allowed in Secure User mode" bitfld.long 0x00 9. "SUW,SecureUser" "0: Write access is not allowed in Secure User mode,1: Write access is allowed in Secure User mode" newline bitfld.long 0x00 8. "SUX,SecureUser Execute" "0: Execute access is not allowed in Secure User..,1: Execute access is allowed in Secure User mode" bitfld.long 0x00 6. "NPR,NonsecurePriv" "0: Read access is not allowed in Nonsecure..,1: Read access is allowed in Nonsecure Privilege.." newline bitfld.long 0x00 5. "NPW,NonsecurePriv" "0: Write access is not allowed in Nonsecure..,1: Write access is allowed in Nonsecure.." bitfld.long 0x00 4. "NPX,NonsecurePriv Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure.." newline bitfld.long 0x00 2. "NUR,NonsecureUser" "0: Read access is not allowed in Nonsecure User..,1: Read access is allowed in Nonsecure User mode" bitfld.long 0x00 1. "NUW,NonsecureUser" "0: Write access is not allowed in Nonsecure User..,1: Write access is allowed in Nonsecure User mode" newline bitfld.long 0x00 0. "NUX,NonsecureUser Execute" "0: Execute access is not allowed in Nonsecure..,1: Execute access is allowed in Nonsecure User.." repeat.end group.long 0x14040++0x03 line.long 0x00 "MRC0_DOM0_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14044++0x03 line.long 0x00 "MRC0_DOM0_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14048++0x03 line.long 0x00 "MRC0_DOM0_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1404C++0x03 line.long 0x00 "MRC0_DOM0_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14050++0x03 line.long 0x00 "MRC0_DOM0_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14054++0x03 line.long 0x00 "MRC0_DOM0_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14058++0x03 line.long 0x00 "MRC0_DOM0_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1405C++0x03 line.long 0x00 "MRC0_DOM0_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14060++0x03 line.long 0x00 "MRC0_DOM0_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14064++0x03 line.long 0x00 "MRC0_DOM0_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14068++0x03 line.long 0x00 "MRC0_DOM0_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1406C++0x03 line.long 0x00 "MRC0_DOM0_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14070++0x03 line.long 0x00 "MRC0_DOM0_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14074++0x03 line.long 0x00 "MRC0_DOM0_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14078++0x03 line.long 0x00 "MRC0_DOM0_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1407C++0x03 line.long 0x00 "MRC0_DOM0_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x140C0++0x03 line.long 0x00 "MRC0_DOM0_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14140++0x03 line.long 0x00 "MRC0_DOM1_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14144++0x03 line.long 0x00 "MRC0_DOM1_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14148++0x03 line.long 0x00 "MRC0_DOM1_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1414C++0x03 line.long 0x00 "MRC0_DOM1_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14150++0x03 line.long 0x00 "MRC0_DOM1_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14154++0x03 line.long 0x00 "MRC0_DOM1_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14158++0x03 line.long 0x00 "MRC0_DOM1_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1415C++0x03 line.long 0x00 "MRC0_DOM1_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14160++0x03 line.long 0x00 "MRC0_DOM1_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14164++0x03 line.long 0x00 "MRC0_DOM1_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14168++0x03 line.long 0x00 "MRC0_DOM1_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1416C++0x03 line.long 0x00 "MRC0_DOM1_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14170++0x03 line.long 0x00 "MRC0_DOM1_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14174++0x03 line.long 0x00 "MRC0_DOM1_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14178++0x03 line.long 0x00 "MRC0_DOM1_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1417C++0x03 line.long 0x00 "MRC0_DOM1_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x141C0++0x03 line.long 0x00 "MRC0_DOM1_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14240++0x03 line.long 0x00 "MRC0_DOM2_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14244++0x03 line.long 0x00 "MRC0_DOM2_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14248++0x03 line.long 0x00 "MRC0_DOM2_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1424C++0x03 line.long 0x00 "MRC0_DOM2_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14250++0x03 line.long 0x00 "MRC0_DOM2_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14254++0x03 line.long 0x00 "MRC0_DOM2_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14258++0x03 line.long 0x00 "MRC0_DOM2_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1425C++0x03 line.long 0x00 "MRC0_DOM2_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14260++0x03 line.long 0x00 "MRC0_DOM2_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14264++0x03 line.long 0x00 "MRC0_DOM2_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14268++0x03 line.long 0x00 "MRC0_DOM2_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1426C++0x03 line.long 0x00 "MRC0_DOM2_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14270++0x03 line.long 0x00 "MRC0_DOM2_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14274++0x03 line.long 0x00 "MRC0_DOM2_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14278++0x03 line.long 0x00 "MRC0_DOM2_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1427C++0x03 line.long 0x00 "MRC0_DOM2_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x142C0++0x03 line.long 0x00 "MRC0_DOM2_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14340++0x03 line.long 0x00 "MRC0_DOM3_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14344++0x03 line.long 0x00 "MRC0_DOM3_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14348++0x03 line.long 0x00 "MRC0_DOM3_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1434C++0x03 line.long 0x00 "MRC0_DOM3_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14350++0x03 line.long 0x00 "MRC0_DOM3_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14354++0x03 line.long 0x00 "MRC0_DOM3_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14358++0x03 line.long 0x00 "MRC0_DOM3_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1435C++0x03 line.long 0x00 "MRC0_DOM3_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14360++0x03 line.long 0x00 "MRC0_DOM3_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14364++0x03 line.long 0x00 "MRC0_DOM3_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14368++0x03 line.long 0x00 "MRC0_DOM3_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1436C++0x03 line.long 0x00 "MRC0_DOM3_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14370++0x03 line.long 0x00 "MRC0_DOM3_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14374++0x03 line.long 0x00 "MRC0_DOM3_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14378++0x03 line.long 0x00 "MRC0_DOM3_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1437C++0x03 line.long 0x00 "MRC0_DOM3_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x143C0++0x03 line.long 0x00 "MRC0_DOM3_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14440++0x03 line.long 0x00 "MRC0_DOM4_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14444++0x03 line.long 0x00 "MRC0_DOM4_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14448++0x03 line.long 0x00 "MRC0_DOM4_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1444C++0x03 line.long 0x00 "MRC0_DOM4_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14450++0x03 line.long 0x00 "MRC0_DOM4_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14454++0x03 line.long 0x00 "MRC0_DOM4_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14458++0x03 line.long 0x00 "MRC0_DOM4_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1445C++0x03 line.long 0x00 "MRC0_DOM4_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14460++0x03 line.long 0x00 "MRC0_DOM4_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14464++0x03 line.long 0x00 "MRC0_DOM4_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14468++0x03 line.long 0x00 "MRC0_DOM4_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1446C++0x03 line.long 0x00 "MRC0_DOM4_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14470++0x03 line.long 0x00 "MRC0_DOM4_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14474++0x03 line.long 0x00 "MRC0_DOM4_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14478++0x03 line.long 0x00 "MRC0_DOM4_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1447C++0x03 line.long 0x00 "MRC0_DOM4_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x144C0++0x03 line.long 0x00 "MRC0_DOM4_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14540++0x03 line.long 0x00 "MRC0_DOM5_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14544++0x03 line.long 0x00 "MRC0_DOM5_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14548++0x03 line.long 0x00 "MRC0_DOM5_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1454C++0x03 line.long 0x00 "MRC0_DOM5_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14550++0x03 line.long 0x00 "MRC0_DOM5_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14554++0x03 line.long 0x00 "MRC0_DOM5_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14558++0x03 line.long 0x00 "MRC0_DOM5_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1455C++0x03 line.long 0x00 "MRC0_DOM5_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14560++0x03 line.long 0x00 "MRC0_DOM5_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14564++0x03 line.long 0x00 "MRC0_DOM5_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14568++0x03 line.long 0x00 "MRC0_DOM5_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1456C++0x03 line.long 0x00 "MRC0_DOM5_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14570++0x03 line.long 0x00 "MRC0_DOM5_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14574++0x03 line.long 0x00 "MRC0_DOM5_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14578++0x03 line.long 0x00 "MRC0_DOM5_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1457C++0x03 line.long 0x00 "MRC0_DOM5_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x145C0++0x03 line.long 0x00 "MRC0_DOM5_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14640++0x03 line.long 0x00 "MRC0_DOM6_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14644++0x03 line.long 0x00 "MRC0_DOM6_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14648++0x03 line.long 0x00 "MRC0_DOM6_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1464C++0x03 line.long 0x00 "MRC0_DOM6_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14650++0x03 line.long 0x00 "MRC0_DOM6_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14654++0x03 line.long 0x00 "MRC0_DOM6_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14658++0x03 line.long 0x00 "MRC0_DOM6_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1465C++0x03 line.long 0x00 "MRC0_DOM6_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14660++0x03 line.long 0x00 "MRC0_DOM6_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14664++0x03 line.long 0x00 "MRC0_DOM6_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14668++0x03 line.long 0x00 "MRC0_DOM6_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1466C++0x03 line.long 0x00 "MRC0_DOM6_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14670++0x03 line.long 0x00 "MRC0_DOM6_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14674++0x03 line.long 0x00 "MRC0_DOM6_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14678++0x03 line.long 0x00 "MRC0_DOM6_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1467C++0x03 line.long 0x00 "MRC0_DOM6_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x146C0++0x03 line.long 0x00 "MRC0_DOM6_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14740++0x03 line.long 0x00 "MRC0_DOM7_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14744++0x03 line.long 0x00 "MRC0_DOM7_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14748++0x03 line.long 0x00 "MRC0_DOM7_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1474C++0x03 line.long 0x00 "MRC0_DOM7_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14750++0x03 line.long 0x00 "MRC0_DOM7_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14754++0x03 line.long 0x00 "MRC0_DOM7_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14758++0x03 line.long 0x00 "MRC0_DOM7_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1475C++0x03 line.long 0x00 "MRC0_DOM7_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14760++0x03 line.long 0x00 "MRC0_DOM7_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14764++0x03 line.long 0x00 "MRC0_DOM7_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14768++0x03 line.long 0x00 "MRC0_DOM7_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1476C++0x03 line.long 0x00 "MRC0_DOM7_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14770++0x03 line.long 0x00 "MRC0_DOM7_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14774++0x03 line.long 0x00 "MRC0_DOM7_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14778++0x03 line.long 0x00 "MRC0_DOM7_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1477C++0x03 line.long 0x00 "MRC0_DOM7_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x147C0++0x03 line.long 0x00 "MRC0_DOM7_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14840++0x03 line.long 0x00 "MRC0_DOM8_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14844++0x03 line.long 0x00 "MRC0_DOM8_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14848++0x03 line.long 0x00 "MRC0_DOM8_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1484C++0x03 line.long 0x00 "MRC0_DOM8_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14850++0x03 line.long 0x00 "MRC0_DOM8_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14854++0x03 line.long 0x00 "MRC0_DOM8_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14858++0x03 line.long 0x00 "MRC0_DOM8_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1485C++0x03 line.long 0x00 "MRC0_DOM8_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14860++0x03 line.long 0x00 "MRC0_DOM8_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14864++0x03 line.long 0x00 "MRC0_DOM8_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14868++0x03 line.long 0x00 "MRC0_DOM8_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1486C++0x03 line.long 0x00 "MRC0_DOM8_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14870++0x03 line.long 0x00 "MRC0_DOM8_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14874++0x03 line.long 0x00 "MRC0_DOM8_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14878++0x03 line.long 0x00 "MRC0_DOM8_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1487C++0x03 line.long 0x00 "MRC0_DOM8_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x148C0++0x03 line.long 0x00 "MRC0_DOM8_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14940++0x03 line.long 0x00 "MRC0_DOM9_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14944++0x03 line.long 0x00 "MRC0_DOM9_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14948++0x03 line.long 0x00 "MRC0_DOM9_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1494C++0x03 line.long 0x00 "MRC0_DOM9_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14950++0x03 line.long 0x00 "MRC0_DOM9_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14954++0x03 line.long 0x00 "MRC0_DOM9_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14958++0x03 line.long 0x00 "MRC0_DOM9_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1495C++0x03 line.long 0x00 "MRC0_DOM9_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14960++0x03 line.long 0x00 "MRC0_DOM9_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14964++0x03 line.long 0x00 "MRC0_DOM9_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14968++0x03 line.long 0x00 "MRC0_DOM9_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1496C++0x03 line.long 0x00 "MRC0_DOM9_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14970++0x03 line.long 0x00 "MRC0_DOM9_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14974++0x03 line.long 0x00 "MRC0_DOM9_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14978++0x03 line.long 0x00 "MRC0_DOM9_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x1497C++0x03 line.long 0x00 "MRC0_DOM9_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x149C0++0x03 line.long 0x00 "MRC0_DOM9_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14A40++0x03 line.long 0x00 "MRC0_DOM10_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A44++0x03 line.long 0x00 "MRC0_DOM10_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A48++0x03 line.long 0x00 "MRC0_DOM10_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A4C++0x03 line.long 0x00 "MRC0_DOM10_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A50++0x03 line.long 0x00 "MRC0_DOM10_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A54++0x03 line.long 0x00 "MRC0_DOM10_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A58++0x03 line.long 0x00 "MRC0_DOM10_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A5C++0x03 line.long 0x00 "MRC0_DOM10_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A60++0x03 line.long 0x00 "MRC0_DOM10_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A64++0x03 line.long 0x00 "MRC0_DOM10_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A68++0x03 line.long 0x00 "MRC0_DOM10_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A6C++0x03 line.long 0x00 "MRC0_DOM10_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A70++0x03 line.long 0x00 "MRC0_DOM10_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A74++0x03 line.long 0x00 "MRC0_DOM10_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14A78++0x03 line.long 0x00 "MRC0_DOM10_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14A7C++0x03 line.long 0x00 "MRC0_DOM10_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14AC0++0x03 line.long 0x00 "MRC0_DOM10_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14B40++0x03 line.long 0x00 "MRC0_DOM11_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B44++0x03 line.long 0x00 "MRC0_DOM11_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B48++0x03 line.long 0x00 "MRC0_DOM11_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B4C++0x03 line.long 0x00 "MRC0_DOM11_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B50++0x03 line.long 0x00 "MRC0_DOM11_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B54++0x03 line.long 0x00 "MRC0_DOM11_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B58++0x03 line.long 0x00 "MRC0_DOM11_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B5C++0x03 line.long 0x00 "MRC0_DOM11_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B60++0x03 line.long 0x00 "MRC0_DOM11_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B64++0x03 line.long 0x00 "MRC0_DOM11_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B68++0x03 line.long 0x00 "MRC0_DOM11_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B6C++0x03 line.long 0x00 "MRC0_DOM11_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B70++0x03 line.long 0x00 "MRC0_DOM11_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B74++0x03 line.long 0x00 "MRC0_DOM11_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14B78++0x03 line.long 0x00 "MRC0_DOM11_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14B7C++0x03 line.long 0x00 "MRC0_DOM11_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14BC0++0x03 line.long 0x00 "MRC0_DOM11_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14C40++0x03 line.long 0x00 "MRC0_DOM12_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C44++0x03 line.long 0x00 "MRC0_DOM12_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C48++0x03 line.long 0x00 "MRC0_DOM12_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C4C++0x03 line.long 0x00 "MRC0_DOM12_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C50++0x03 line.long 0x00 "MRC0_DOM12_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C54++0x03 line.long 0x00 "MRC0_DOM12_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C58++0x03 line.long 0x00 "MRC0_DOM12_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C5C++0x03 line.long 0x00 "MRC0_DOM12_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C60++0x03 line.long 0x00 "MRC0_DOM12_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C64++0x03 line.long 0x00 "MRC0_DOM12_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C68++0x03 line.long 0x00 "MRC0_DOM12_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C6C++0x03 line.long 0x00 "MRC0_DOM12_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C70++0x03 line.long 0x00 "MRC0_DOM12_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C74++0x03 line.long 0x00 "MRC0_DOM12_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14C78++0x03 line.long 0x00 "MRC0_DOM12_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14C7C++0x03 line.long 0x00 "MRC0_DOM12_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14CC0++0x03 line.long 0x00 "MRC0_DOM12_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14D40++0x03 line.long 0x00 "MRC0_DOM13_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D44++0x03 line.long 0x00 "MRC0_DOM13_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D48++0x03 line.long 0x00 "MRC0_DOM13_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D4C++0x03 line.long 0x00 "MRC0_DOM13_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D50++0x03 line.long 0x00 "MRC0_DOM13_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D54++0x03 line.long 0x00 "MRC0_DOM13_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D58++0x03 line.long 0x00 "MRC0_DOM13_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D5C++0x03 line.long 0x00 "MRC0_DOM13_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D60++0x03 line.long 0x00 "MRC0_DOM13_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D64++0x03 line.long 0x00 "MRC0_DOM13_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D68++0x03 line.long 0x00 "MRC0_DOM13_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D6C++0x03 line.long 0x00 "MRC0_DOM13_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D70++0x03 line.long 0x00 "MRC0_DOM13_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D74++0x03 line.long 0x00 "MRC0_DOM13_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14D78++0x03 line.long 0x00 "MRC0_DOM13_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14D7C++0x03 line.long 0x00 "MRC0_DOM13_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14DC0++0x03 line.long 0x00 "MRC0_DOM13_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14E40++0x03 line.long 0x00 "MRC0_DOM14_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E44++0x03 line.long 0x00 "MRC0_DOM14_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E48++0x03 line.long 0x00 "MRC0_DOM14_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E4C++0x03 line.long 0x00 "MRC0_DOM14_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E50++0x03 line.long 0x00 "MRC0_DOM14_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E54++0x03 line.long 0x00 "MRC0_DOM14_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E58++0x03 line.long 0x00 "MRC0_DOM14_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E5C++0x03 line.long 0x00 "MRC0_DOM14_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E60++0x03 line.long 0x00 "MRC0_DOM14_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E64++0x03 line.long 0x00 "MRC0_DOM14_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E68++0x03 line.long 0x00 "MRC0_DOM14_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E6C++0x03 line.long 0x00 "MRC0_DOM14_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E70++0x03 line.long 0x00 "MRC0_DOM14_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E74++0x03 line.long 0x00 "MRC0_DOM14_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14E78++0x03 line.long 0x00 "MRC0_DOM14_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14E7C++0x03 line.long 0x00 "MRC0_DOM14_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14EC0++0x03 line.long 0x00 "MRC0_DOM14_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." group.long 0x14F40++0x03 line.long 0x00 "MRC0_DOM15_RGD0_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F44++0x03 line.long 0x00 "MRC0_DOM15_RGD0_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F48++0x03 line.long 0x00 "MRC0_DOM15_RGD1_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F4C++0x03 line.long 0x00 "MRC0_DOM15_RGD1_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F50++0x03 line.long 0x00 "MRC0_DOM15_RGD2_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F54++0x03 line.long 0x00 "MRC0_DOM15_RGD2_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F58++0x03 line.long 0x00 "MRC0_DOM15_RGD3_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F5C++0x03 line.long 0x00 "MRC0_DOM15_RGD3_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F60++0x03 line.long 0x00 "MRC0_DOM15_RGD4_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F64++0x03 line.long 0x00 "MRC0_DOM15_RGD4_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F68++0x03 line.long 0x00 "MRC0_DOM15_RGD5_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F6C++0x03 line.long 0x00 "MRC0_DOM15_RGD5_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F70++0x03 line.long 0x00 "MRC0_DOM15_RGD6_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F74++0x03 line.long 0x00 "MRC0_DOM15_RGD6_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14F78++0x03 line.long 0x00 "MRC0_DOM15_RGD7_W0,MRC Region Descriptor Word 0" hexmask.long.tbyte 0x00 14.--31. 1. "STRT_ADDR,Start Address" bitfld.long 0x00 0.--2. "MRACSEL,Memory Region Access Control Select" "0: Select MRC_GLBAC0 access control policy,1: Select MRC_GLBAC1 access control policy,2: Select MRC_GLBAC2 access control policy,3: Select MRC_GLBAC3 access control policy,4: Select MRC_GLBAC4 access control policy,5: Select MRC_GLBAC5 access control policy,6: Select MRC_GLBAC6 access control policy,7: Select MRC_GLBAC7 access control policy" group.long 0x14F7C++0x03 line.long 0x00 "MRC0_DOM15_RGD7_W1,MRC Region Descriptor Word 1" hexmask.long.tbyte 0x00 14.--31. 1. "END_ADDR,End Address" bitfld.long 0x00 4. "NSE,NonSecure Enable" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 0. "VLD,Valid" "0,1" group.long 0x14FC0++0x03 line.long 0x00 "MRC0_DOM15_RGD_NSE,MRC Region Descriptor NonSecure Enable" bitfld.long 0x00 7. "BIT7,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 6. "BIT6,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 5. "BIT5,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 4. "BIT4,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 3. "BIT3,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 2. "BIT2,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." newline bitfld.long 0x00 1. "BIT1,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." bitfld.long 0x00 0. "BIT0,Bit n NonSecure Enable [n = 0 - 15]" "0: Secure accesses to region r are not allowed..,1: Secure accesses to region r are are not.." repeat 2. (increment 0 1)(increment 0 0x10) tree "mbc_derr[$1]" rgroup.long ($2+0x400)++0x03 line.long 0x00 "MBC_DERR_W0,MBC Domain Error Word0 Register" hexmask.long 0x00 0.--31. 1. "EADDR,Error address" rgroup.long ($2+0x404)++0x03 line.long 0x00 "MBC_DERR_W1,MBC Domain Error Word1 Register" bitfld.long 0x00 30.--31. "EST,Error state" "0: No access violation has been detected,1: No access violation has been detected,2: A single access violation has been detected,3: Multiple access violations for this domain.." bitfld.long 0x00 24.--26. "EPORT,Error port" "0: mbcxslv0,1: mbcxslv1,2: mbcxslv2,3: mbcxslv3,?..." bitfld.long 0x00 11. "ERW,Error read/" "0: Read access,1: Write access" newline bitfld.long 0x00 8.--10. "EATR,Error attributes" "0: Secure user mode instruction fetch access,1: Secure user mode data access,2: Secure privileged mode instruction fetch access,3: Secure privileged mode data access,4: Nonsecure user mode instruction fetch access,5: Nonsecure user mode data access,6: Nonsecure privileged mode instruction fetch..,7: Nonsecure privileged mode data access" bitfld.long 0x00 0.--3. "EDID,Error domain identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long ($2+0x40C)++0x03 line.long 0x00 "MBC_DERR_W3,MBC Domain Error Word3 Register" bitfld.long 0x00 30.--31. "RECR,Rearm Error Capture Registers" "0,1,2,3" tree.end repeat.end tree.end tree "TRGMUX" base ad:0x44531000 group.long 0x00++0x03 line.long 0x00 "REG0,TRGMUX REG0 Register" bitfld.long 0x00 31. "LK,TRGMUX register lock" "0: Register can be written,1: Register cannot be written until the next.." bitfld.long 0x00 8.--11. "SEL1,Trigger MUX Input 1 Source Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "SEL0,Trigger MUX Input 0 Source Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "TSTMR" tree "TSTMR1__TSTMRA" base ad:0x442C0000 rgroup.long 0x00++0x03 line.long 0x00 "LOW,Time Stamp Timer Register Low" hexmask.long 0x00 0.--31. 1. "VALUE,Time Stamp Timer Low" rgroup.long 0x04++0x03 line.long 0x00 "HIGH,Time Stamp Timer Register High" hexmask.long.tbyte 0x00 0.--23. 1. "VALUE,Time Stamp Timer High" tree.end tree "TSTMR2__TSTMRA" base ad:0x42480000 rgroup.long 0x00++0x03 line.long 0x00 "LOW,Time Stamp Timer Register Low" hexmask.long 0x00 0.--31. 1. "VALUE,Time Stamp Timer Low" rgroup.long 0x04++0x03 line.long 0x00 "HIGH,Time Stamp Timer Register High" hexmask.long.tbyte 0x00 0.--23. 1. "VALUE,Time Stamp Timer High" tree.end tree.end tree "USB (Universal Serial Bus)" repeat 2. (list 1. 2.) (list ad:0x4C100000 ad:0x4C200000) tree "USB__USB_OTG$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "ID,Identification register" hexmask.long.byte 0x00 16.--23. 1. "REVISION,REVISION" bitfld.long 0x00 8.--13. "NID,NID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. "ID,ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x04++0x03 line.long 0x00 "HWGENERAL,Hardware General" bitfld.long 0x00 9.--10. "SM,SM" "0: No Serial Engine always use parallel signalling,1: Serial Engine present always use serial..,2: Software programmable - Reset to use parallel..,3: Software programmable - Reset to use serial.." bitfld.long 0x00 6.--8. "PHYM,PHYM" "0: UTMI/UMTI+,1: ULPI_DDR,2: ULPI,3: Serial Only,4: Software programmable - reset to UTMI/UTMI+,5: Software programmable - reset to ULPI DDR,6: Software programmable - reset to ULPI,7: Software programmable - reset to Serial" newline bitfld.long 0x00 4.--5. "PHYW,PHYW" "0: 8 bit wide data bus (Software..,1: 16 bit wide data bus (Software..,2: Reset to 8 bit wide data bus (Software..,3: Reset to 16 bit wide data bus (Software.." bitfld.long 0x00 3. "BWT,BWT" "0,1" newline bitfld.long 0x00 1.--2. "CLKC,CLKC" "0: xcvr_clk_0 = pe_clk = clk (do not select for..,1: xcvr_clk_0 < pe_clk = clk,2: xcvr_clk_0 = pe_clk <> clk (do not select for..,3: xcvr_clk_0 < pe_clk <> clk" bitfld.long 0x00 0. "RT,RT" "0: Use Synchronous Resets,1: Use Asynchronous Resets" rgroup.long 0x08++0x03 line.long 0x00 "HWHOST,Host Hardware Parameters" hexmask.long.byte 0x00 24.--31. 1. "TTPER,TTPER" hexmask.long.byte 0x00 16.--23. 1. "TTASY,TTASY" newline bitfld.long 0x00 1.--3. "NPORT,NPORT" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0. "HC,HC" "0: Not supported,1: HOST_OP_EN" rgroup.long 0x0C++0x03 line.long 0x00 "HWDEVICE,Device Hardware Parameters" bitfld.long 0x00 1.--5. "DEVEP,DEVEP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0. "DC,DC" "0: DEVICE_OP_DIS,1: DEVICE_OP_EN" rgroup.long 0x10++0x03 line.long 0x00 "HWTXBUF,TX Buffer Hardware Parameters" hexmask.long.byte 0x00 16.--23. 1. "TXCHANADD,TXCHANADD" hexmask.long.byte 0x00 8.--15. 1. "TXADD,TXADD" newline hexmask.long.byte 0x00 0.--7. 1. "TXBURST,TXBURST" rgroup.long 0x14++0x03 line.long 0x00 "HWRXBUF,RX Buffer Hardware Parameters" hexmask.long.byte 0x00 8.--15. 1. "RXADD,RXADD" hexmask.long.byte 0x00 0.--7. 1. "RXBURST,RXBURST" group.long 0x80++0x03 line.long 0x00 "GPTIMER0LD,General Purpose Timer #0 Load" hexmask.long.tbyte 0x00 0.--23. 1. "GPTLD,GPTLD" group.long 0x84++0x03 line.long 0x00 "GPTIMER0CTRL,General Purpose Timer #0 Controller" bitfld.long 0x00 31. "GPTRUN,GPTRUN" "0: Stop counting,1: RUN" bitfld.long 0x00 30. "GPTRST,GPTRST" "0: NO_ACTION,1: Load counter value from GPTLD bits in.." newline bitfld.long 0x00 24. "GPTMODE,GPTMODE" "0: One Shot Mode,1: Repeat Mode" hexmask.long.tbyte 0x00 0.--23. 1. "GPTCNT,GPTCNT" group.long 0x88++0x03 line.long 0x00 "GPTIMER1LD,General Purpose Timer #1 Load" hexmask.long.tbyte 0x00 0.--23. 1. "GPTLD,GPTLD" group.long 0x8C++0x03 line.long 0x00 "GPTIMER1CTRL,General Purpose Timer #1 Controller" bitfld.long 0x00 31. "GPTRUN,GPTRUN" "0: Stop counting,1: RUN" bitfld.long 0x00 30. "GPTRST,GPTRST" "0: NO_ACTION,1: Load counter value from GPTLD bits in.." newline bitfld.long 0x00 24. "GPTMODE,GPTMODE" "0: One Shot Mode,1: Repeat Mode" hexmask.long.tbyte 0x00 0.--23. 1. "GPTCNT,GPTCNT" group.long 0x90++0x03 line.long 0x00 "SBUSCFG,System Bus Config" bitfld.long 0x00 0.--2. "AHBBRST,AHBBRST" "0: Incremental burst of unspecified length only,1: INCR4 burst then single transfer,2: INCR8 burst INCR4 burst then single transfer,3: INCR16 burst INCR8 burst INCR4 burst then..,?,5: INCR4 burst then incremental burst of..,6: INCR8 burst INCR4 burst then incremental..,7: INCR16 burst INCR8 burst INCR4 burst then.." rgroup.byte 0x100++0x00 line.byte 0x00 "CAPLENGTH,Capability Registers Length" hexmask.byte 0x00 0.--7. 1. "CAPLENGTH,CAPLENGTH" rgroup.word 0x102++0x01 line.word 0x00 "HCIVERSION,Host Controller Interface Version" hexmask.word 0x00 0.--15. 1. "HCIVERSION,HCIVERSION" rgroup.long 0x104++0x03 line.long 0x00 "HCSPARAMS,Host Controller Structural Parameters" bitfld.long 0x00 24.--27. "N_TT,N_TT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. "N_PTT,N_PTT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16. "PI,PI" "0,1" bitfld.long 0x00 12.--15. "N_CC,N_CC" "0: There is no internal Companion Controller and..,1: There are internal companion controller(s)..,?..." newline bitfld.long 0x00 8.--11. "N_PCC,N_PCC" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4. "PPC,PPC" "0,1" newline bitfld.long 0x00 0.--3. "N_PORTS,N_PORTS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x108++0x03 line.long 0x00 "HCCPARAMS,Host Controller Capability Parameters" hexmask.long.byte 0x00 8.--15. 1. "EECP,EECP" bitfld.long 0x00 4.--7. "IST,IST" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "ASP,ASP" "0,1" bitfld.long 0x00 1. "PFL,PFL" "0,1" newline bitfld.long 0x00 0. "ADC,ADC" "0,1" rgroup.word 0x120++0x01 line.word 0x00 "DCIVERSION,Device Controller Interface Version" hexmask.word 0x00 0.--15. 1. "DCIVERSION,DCIVERSION" rgroup.long 0x124++0x03 line.long 0x00 "DCCPARAMS,Device Controller Capability Parameters" bitfld.long 0x00 8. "HC,HC" "0,1" bitfld.long 0x00 7. "DC,DC" "0,1" newline bitfld.long 0x00 0.--4. "DEN,DEN" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x140++0x03 line.long 0x00 "USBCMD,USB Command Register" hexmask.long.byte 0x00 16.--23. 1. "ITC,ITC" bitfld.long 0x00 15. "FS_2,FS_2" "0,1" newline bitfld.long 0x00 14. "ATDTW,ATDTW" "0,1" bitfld.long 0x00 13. "SUTW,SUTW" "0,1" newline bitfld.long 0x00 11. "ASPE,ASPE" "0,1" bitfld.long 0x00 8.--9. "ASP,ASP" "0,1,2,3" newline bitfld.long 0x00 7. "LR,LR" "0,1" bitfld.long 0x00 6. "IAA,IAA" "0,1" newline bitfld.long 0x00 5. "ASE,ASE" "0: Do not process the Asynchronous Schedule,1: Use the ASYNCLISTADDR register to access the.." bitfld.long 0x00 4. "PSE,PSE" "0: Do not process the Periodic Schedule,1: Use the PERIODICLISTBASE register to access.." newline bitfld.long 0x00 2.--3. "FS_1,FS_1" "0,1,2,3" bitfld.long 0x00 1. "RST,RST" "0,1" newline bitfld.long 0x00 0. "RS,RS" "0,1" group.long 0x144++0x03 line.long 0x00 "USBSTS,USB Status Register" bitfld.long 0x00 25. "TI1,TI1" "0,1" bitfld.long 0x00 24. "TI0,TI0" "0,1" newline rbitfld.long 0x00 16. "NAKI,NAKI" "0,1" bitfld.long 0x00 15. "AS,AS" "0,1" newline bitfld.long 0x00 14. "PS,PS" "0,1" bitfld.long 0x00 13. "RCL,RCL" "0,1" newline bitfld.long 0x00 12. "HCH,HCH" "0,1" bitfld.long 0x00 10. "ULPII,ULPII" "0,1" newline bitfld.long 0x00 8. "SLI,SLI" "0,1" bitfld.long 0x00 7. "SRI,SRI" "0,1" newline bitfld.long 0x00 6. "URI,URI" "0,1" bitfld.long 0x00 5. "AAI,AAI" "0,1" newline bitfld.long 0x00 4. "SEI,SEI" "0,1" bitfld.long 0x00 3. "FRI,FRI" "0,1" newline bitfld.long 0x00 2. "PCI,PCI" "0,1" bitfld.long 0x00 1. "UEI,UEI" "0,1" newline bitfld.long 0x00 0. "UI,UI" "0,1" group.long 0x148++0x03 line.long 0x00 "USBINTR,Interrupt Enable Register" bitfld.long 0x00 25. "TIE1,TIE1" "0,1" bitfld.long 0x00 24. "TIE0,TIE0" "0,1" newline bitfld.long 0x00 19. "UPIE,UPIE" "0,1" bitfld.long 0x00 18. "UAIE,UAIE" "0,1" newline bitfld.long 0x00 16. "NAKE,NAKE" "0,1" bitfld.long 0x00 10. "ULPIE,ULPIE" "0,1" newline bitfld.long 0x00 8. "SLE,SLE" "0,1" bitfld.long 0x00 7. "SRE,SRE" "0,1" newline bitfld.long 0x00 6. "URE,URE" "0,1" bitfld.long 0x00 5. "AAE,AAE" "0,1" newline bitfld.long 0x00 4. "SEE,SEE" "0,1" bitfld.long 0x00 3. "FRE,FRE" "0,1" newline bitfld.long 0x00 2. "PCE,PCE" "0,1" bitfld.long 0x00 1. "UEE,UEE" "0,1" newline bitfld.long 0x00 0. "UE,UE" "0,1" group.long 0x14C++0x03 line.long 0x00 "FRINDEX,USB Frame Index" hexmask.long.word 0x00 0.--13. 1. "FRINDEX,FRINDEX" group.long 0x154++0x03 line.long 0x00 "DEVICEADDR,Device Address" hexmask.long.byte 0x00 25.--31. 1. "USBADR,USBADR" bitfld.long 0x00 24. "USBADRA,USBADRA" "0,1" group.long 0x154++0x03 line.long 0x00 "PERIODICLISTBASE,Frame List Base Address" hexmask.long.tbyte 0x00 12.--31. 1. "BASEADR,BASEADR" group.long 0x158++0x03 line.long 0x00 "ASYNCLISTADDR,Next Asynch" hexmask.long 0x00 5.--31. 1. "ASYBASE,ASYBASE" group.long 0x158++0x03 line.long 0x00 "ENDPTLISTADDR,Endpoint List Address" hexmask.long.tbyte 0x00 11.--31. 1. "EPBASE,EPBASE" group.long 0x160++0x03 line.long 0x00 "BURSTSIZE,Programmable Burst Size" hexmask.long.word 0x00 8.--16. 1. "TXPBURST,TXPBURST" hexmask.long.byte 0x00 0.--7. 1. "RXPBURST,RXPBURST" group.long 0x164++0x03 line.long 0x00 "TXFILLTUNING,TX FIFO Fill Tuning" bitfld.long 0x00 16.--21. "TXFIFOTHRES,TXFIFOTHRES" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--12. "TXSCHHEALTH,TXSCHHEALTH" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 0.--7. 1. "TXSCHOH,TXSCHOH" group.long 0x16C++0x03 line.long 0x00 "IC_USB,IC_USB enable and voltage negotiation" bitfld.long 0x00 31. "IC8,IC8" "0,1" rbitfld.long 0x00 28.--30. "IC_VDD8,IC_VDD8" "0: No voltage,1: VOLT_1,2: VOLT_1_2,3: VOLT_1_5,4: VOLT_1_8,5: VOLT_3,?..." newline bitfld.long 0x00 27. "IC7,IC7" "0,1" rbitfld.long 0x00 24.--26. "IC_VDD7,IC_VDD7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 23. "IC6,IC6" "0,1" rbitfld.long 0x00 20.--22. "IC_VDD6,IC_VDD6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. "IC5,IC5" "0,1" rbitfld.long 0x00 16.--18. "IC_VDD5,IC_VDD5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "IC4,IC4" "0,1" rbitfld.long 0x00 12.--14. "IC_VDD4,IC_VDD4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "IC3,IC3" "0,1" rbitfld.long 0x00 8.--10. "IC_VDD3,IC_VDD3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "IC2,IC2" "0,1" rbitfld.long 0x00 4.--6. "IC_VDD2,IC_VDD2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3. "IC1,IC1" "0,1" rbitfld.long 0x00 0.--2. "IC_VDD1,IC_VDD1" "0,1,2,3,4,5,6,7" group.long 0x178++0x03 line.long 0x00 "ENDPTNAK,Endpoint NAK" hexmask.long.byte 0x00 16.--23. 1. "EPTN,EPTN" hexmask.long.byte 0x00 0.--7. 1. "EPRN,EPRN" group.long 0x17C++0x03 line.long 0x00 "ENDPTNAKEN,Endpoint NAK Enable" hexmask.long.byte 0x00 16.--23. 1. "EPTNE,EPTNE" hexmask.long.byte 0x00 0.--7. 1. "EPRNE,EPRNE" rgroup.long 0x180++0x03 line.long 0x00 "CONFIGFLAG,Configure Flag Register" bitfld.long 0x00 0. "CF,CF" "0: Port routing control logic default-routes..,1: Port routing control logic default-routes all.." group.long 0x184++0x03 line.long 0x00 "PORTSC1,Port Status & Control" bitfld.long 0x00 30.--31. "PTS_1,PTS_1" "0,1,2,3" bitfld.long 0x00 29. "STS,STS" "0,1" newline bitfld.long 0x00 28. "PTW,PTW" "0: Select the 8-bit UTMI interface [60MHz],1: Select the 16-bit UTMI interface [30MHz]" bitfld.long 0x00 26.--27. "PSPD,PSPD" "0: Full Speed,1: Low Speed,2: High Speed,3: UNDEFINED" newline bitfld.long 0x00 25. "PTS_2,PTS_2" "0,1" bitfld.long 0x00 24. "PFSC,PFSC" "0: Normal operation,1: Forced to full speed" newline bitfld.long 0x00 23. "PHCD,PHCD" "0: Enable PHY clock,1: Disable PHY clock" bitfld.long 0x00 22. "WKOC,WKOC" "0,1" newline bitfld.long 0x00 21. "WKDC,WKDC" "0,1" bitfld.long 0x00 20. "WKCN,WKCN" "0,1" newline bitfld.long 0x00 16.--19. "PTC,PTC" "0: TEST_MODE_DISABLE,1: J_STATE,2: K_STATE,3: SE0 (host) / NAK (device),4: Packet,5: FORCE_ENABLE_HS,6: FORCE_ENABLE_FS,7: FORCE_ENABLE_LS,?..." bitfld.long 0x00 14.--15. "PIC,PIC" "0: Port indicators are off,1: PORT_IND_AMBER,2: PORT_IND_GREEN,3: UNDEFINED" newline bitfld.long 0x00 13. "PO,PO" "0,1" bitfld.long 0x00 12. "PP,PP" "0,1" newline bitfld.long 0x00 10.--11. "LS,LS" "0: SE0,1: K_STATE,2: J_STATE,3: UNDEFINED" rbitfld.long 0x00 9. "HSP,HSP" "0,1" newline bitfld.long 0x00 8. "PR,PR" "0,1" bitfld.long 0x00 7. "SUSP,SUSP" "0,1" newline bitfld.long 0x00 6. "FPR,FPR" "0,1" bitfld.long 0x00 5. "OCC,OCC" "0,1" newline rbitfld.long 0x00 4. "OCA,OCA" "0: This port does not have an over-current..,1: This port currently has an over-current.." bitfld.long 0x00 3. "PEC,PEC" "0,1" newline bitfld.long 0x00 2. "PE,PE" "0,1" bitfld.long 0x00 1. "CSC,CSC" "0,1" newline rbitfld.long 0x00 0. "CCS,CCS" "0,1" group.long 0x1A4++0x03 line.long 0x00 "OTGSC,On-The-Go Status & control" bitfld.long 0x00 30. "DPIE,DPIE" "0,1" bitfld.long 0x00 29. "EN_1MS,EN_1MS" "0,1" newline bitfld.long 0x00 28. "BSEIE,BSEIE" "0,1" bitfld.long 0x00 27. "BSVIE,BSVIE" "0,1" newline bitfld.long 0x00 26. "ASVIE,ASVIE" "0,1" bitfld.long 0x00 25. "AVVIE,AVVIE" "0,1" newline bitfld.long 0x00 24. "IDIE,IDIE" "0,1" bitfld.long 0x00 22. "DPIS,DPIS" "0,1" newline bitfld.long 0x00 21. "STATUS_1MS,STATUS_1MS" "0,1" bitfld.long 0x00 20. "BSEIS,BSEIS" "0,1" newline bitfld.long 0x00 19. "BSVIS,BSVIS" "0,1" bitfld.long 0x00 18. "ASVIS,ASVIS" "0,1" newline bitfld.long 0x00 17. "AVVIS,AVVIS" "0,1" bitfld.long 0x00 16. "IDIS,IDIS" "0,1" newline rbitfld.long 0x00 14. "DPS,DPS" "0,1" rbitfld.long 0x00 13. "TOG_1MS,TOG_1MS" "0,1" newline rbitfld.long 0x00 12. "BSE,BSE" "0,1" rbitfld.long 0x00 11. "BSV,BSV" "0,1" newline rbitfld.long 0x00 10. "ASV,ASV" "0,1" rbitfld.long 0x00 9. "AVV,AVV" "0,1" newline rbitfld.long 0x00 8. "ID,ID" "0,1" bitfld.long 0x00 5. "IDPU,IDPU" "0,1" newline bitfld.long 0x00 4. "DP,DP" "0,1" bitfld.long 0x00 3. "OT,OT" "0,1" newline bitfld.long 0x00 1. "VC,VC" "0,1" bitfld.long 0x00 0. "VD,VD" "0,1" group.long 0x1A8++0x03 line.long 0x00 "USBMODE,USB Device Mode" bitfld.long 0x00 15. "SRT,SRT" "0,1" bitfld.long 0x00 4. "SDIS,SDIS" "0,1" newline bitfld.long 0x00 3. "SLOM,SLOM" "0: Setup Lockouts On (default),1: Setup Lockouts Off" bitfld.long 0x00 2. "ES,ES" "0: Little Endian [Default],1: BIG_ENDIAN" newline bitfld.long 0x00 0.--1. "CM,CM" "0: Idle [Default for combination host/device],?,2: Device Controller [Default for device only..,3: Host Controller [Default for host only.." group.long 0x1AC++0x03 line.long 0x00 "ENDPTSETUPSTAT,Endpoint Setup Status" hexmask.long.word 0x00 0.--15. 1. "ENDPTSETUPSTAT,ENDPTSETUPSTAT" group.long 0x1B0++0x03 line.long 0x00 "ENDPTPRIME,Endpoint Prime" hexmask.long.byte 0x00 16.--23. 1. "PETB,PETB" hexmask.long.byte 0x00 0.--7. 1. "PERB,PERB" group.long 0x1B4++0x03 line.long 0x00 "ENDPTFLUSH,Endpoint Flush" hexmask.long.byte 0x00 16.--23. 1. "FETB,FETB" hexmask.long.byte 0x00 0.--7. 1. "FERB,FERB" rgroup.long 0x1B8++0x03 line.long 0x00 "ENDPTSTAT,Endpoint Status" hexmask.long.byte 0x00 16.--23. 1. "ETBR,ETBR" hexmask.long.byte 0x00 0.--7. 1. "ERBR,ERBR" group.long 0x1BC++0x03 line.long 0x00 "ENDPTCOMPLETE,Endpoint Complete" hexmask.long.byte 0x00 16.--23. 1. "ETCE,ETCE" hexmask.long.byte 0x00 0.--7. 1. "ERCE,ERCE" group.long 0x1C0++0x03 line.long 0x00 "ENDPTCTRL0,Endpoint Control0" bitfld.long 0x00 23. "TXE,TXE" "0,1" bitfld.long 0x00 18.--19. "TXT,TXT" "0,1,2,3" newline bitfld.long 0x00 16. "TXS,TXS" "0,1" bitfld.long 0x00 7. "RXE,RXE" "0,1" newline bitfld.long 0x00 2.--3. "RXT,RXT" "0,1,2,3" bitfld.long 0x00 0. "RXS,RXS" "0,1" repeat 7. (strings "1" "2" "3" "4" "5" "6" "7" )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 ) group.long ($2+0x1C4)++0x03 line.long 0x00 "ENDPTCTRL$1,Endpoint Control $1" bitfld.long 0x00 23. "TXE,TXE" "0,1" bitfld.long 0x00 22. "TXR,TXR" "0,1" newline bitfld.long 0x00 21. "TXI,TXI" "0,1" bitfld.long 0x00 18.--19. "TXT,TXT" "0,1,2,3" newline bitfld.long 0x00 17. "TXD,TXD" "0,1" bitfld.long 0x00 16. "TXS,TXS" "0,1" newline bitfld.long 0x00 7. "RXE,RXE" "0,1" bitfld.long 0x00 6. "RXR,RXR" "0,1" newline bitfld.long 0x00 5. "RXI,RXI" "0,1" bitfld.long 0x00 2.--3. "RXT,RXT" "0,1,2,3" newline bitfld.long 0x00 1. "RXD,RXD" "0,1" bitfld.long 0x00 0. "RXS,RXS" "0,1" repeat.end group.long 0x770++0x03 line.long 0x00 "ULPIVIEW,ULPI Viewport" bitfld.long 0x00 31. "ULPIWU,ULPIWU" "0,1" bitfld.long 0x00 30. "ULPIRUN,ULPIRUN" "0,1" newline bitfld.long 0x00 29. "ULPIRW,ULPIRW" "0,1" bitfld.long 0x00 27. "ULPISS,ULPISS" "0,1" newline bitfld.long 0x00 24.--26. "ULPIPORT,ULPIPORT" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 16.--23. 1. "ULPIADDR,ULPIADDR" newline hexmask.long.byte 0x00 8.--15. 1. "ULPIDATRD,ULPIDATRD" hexmask.long.byte 0x00 0.--7. 1. "ULPIDATWR,ULPIDATWR" tree.end repeat.end tree.end tree "USBNC (Universal Serial Bus)" repeat 2. (list 1. 2.) (list ad:0x4C100200 ad:0x4C200200) tree "USB__USBNC_OTG$1" base $2 group.long 0x00++0x03 line.long 0x00 "CTRL1,USB OTG Control 1 Register" rbitfld.long 0x00 31. "WIR,WIR" "0: No wake-up interrupt request received,1: Wake-up Interrupt Request received" bitfld.long 0x00 29. "WKUP_DPDM_EN,Wake-up on DPDM change enable" "0: DPDM changes wake-up to be disabled only when..,1: (Default) DPDM changes wake-up to be enabled.." newline bitfld.long 0x00 23. "FORCE_FS,FORCE_FS" "0: Normal operation,1: Force UTMI PHY to Full Speed mode" bitfld.long 0x00 18. "FLT_DIS,FLT_DIS" "0: Enable Filter on VBUS/ID,1: Disable Filter on VBUS/ID" newline bitfld.long 0x00 17. "WKUP_VBUS_EN,WKUP_VBUS_EN" "0: WKUP_VBUS_DIS,1: WKUP_VBUS_EN" bitfld.long 0x00 16. "WKUP_ID_EN,WKUP_ID_EN" "0: WKUP_ID_DIS,1: WKUP_ID_EN" newline bitfld.long 0x00 15. "WKUP_SW,WKUP_SW" "0: INACTIVE,1: Force wake-up" bitfld.long 0x00 14. "WKUP_SW_EN,WKUP_SW_EN" "0: SW_WKUP_DIS,1: SW_WKUP_EN" newline bitfld.long 0x00 13. "UTMI_ON_CLOCK,UTMI_ON_CLOCK" "0: INACTIVE,1: Force clock output on" bitfld.long 0x00 12. "SUSPENDM,SUSPENDM" "0: Force OTG1 UTMI PHY Suspend,1: INACTIVE" newline bitfld.long 0x00 11. "RESET,RESET" "0: INACTIVE,1: Reset the PHY" bitfld.long 0x00 10. "WIE,WIE" "0: Interrupt Disabled,1: Interrupt Enabled" newline bitfld.long 0x00 9. "PWR_POL,PWR_POL" "0: PMIC Power Pin is Low active,1: PMIC Power Pin is High active" bitfld.long 0x00 8. "OVER_CUR_POL,OVER_CUR_POL" "0: High active (high on this signal represents..,1: Low active (low on this signal represents an.." newline bitfld.long 0x00 7. "OVER_CUR_DIS,OVER_CUR_DIS" "0: Enables overcurrent detection,1: Disables overcurrent detection" group.long 0x04++0x03 line.long 0x00 "CTRL2,USB OTG Control 2 Register" eventfld.long 0x00 31. "UTMI_CLK_VLD,UTMI_CLK_VLD" "0: DEFAULT,?..." bitfld.long 0x00 23. "SHORT_PKT_EN,Short Packet Interrupt" "0: DEFAULT,?..." newline bitfld.long 0x00 3. "LOWSPEED_EN,LOWSPEED_EN" "0: DEFAULT,?..." bitfld.long 0x00 2. "AUTURESUME_EN,Auto Resume Enable" "0: DEFAULT,?..." newline bitfld.long 0x00 0.--1. "VBUS_SOURCE_SEL,VBUS_SOURCE_SEL" "0: VBUS_VALID,1: SESS_VALID_1,2: SESS_VALID_2,3: SESS_VALID_3" group.long 0x10++0x03 line.long 0x00 "HSIC_CTRL,USB Host HSIC Control Register" rbitfld.long 0x00 31. "CLK_VLD,CLK_VLD" "0: INVALID,1: VALID" bitfld.long 0x00 16. "KEEPEN_ASYNC_RST,KEEPEN_ASYNC_RST" "0: Disabled,1: Enabled" newline bitfld.long 0x00 15. "KEEPEN_FORCE,KEEPEN_FORCE" "0: INACTIVE,1: ACTIVE" bitfld.long 0x00 14. "LOOPBACK_DISABLE,LOOPBACK_DISABLE" "0: Disabled,1: Enabled" newline bitfld.long 0x00 13. "IDLE_DETECT_OPTION,IDLE_DETECT_OPTION" "0: Disabled,1: Enabled" bitfld.long 0x00 12. "HSIC_EN,HSIC_EN" "0: Disabled,1: Enabled" newline bitfld.long 0x00 11. "HSIC_CLK_ON,HSIC_CLK_ON" "0: INACTIVE,1: ACTIVE" tree.end repeat.end tree.end tree "USDHC (Ultra Secured Digital Host Controller)" repeat 3. (list 1. 2. 3.) (list ad:0x42850000 ad:0x42860000 ad:0x428B0000) tree "USDHC$1" base $2 group.long 0x00++0x03 line.long 0x00 "DS_ADDR,DMA System Address" hexmask.long 0x00 0.--31. 1. "DS_ADDR,System address" group.long 0x04++0x03 line.long 0x00 "BLK_ATT,Block Attributes" hexmask.long.word 0x00 16.--31. 1. "BLKCNT,Blocks count for current transfer" newline hexmask.long.word 0x00 0.--12. 1. "BLKSIZE,Transfer block size" group.long 0x08++0x03 line.long 0x00 "CMD_ARG,Command Argument" hexmask.long 0x00 0.--31. 1. "CMDARG,Command argument" group.long 0x0C++0x03 line.long 0x00 "CMD_XFR_TYP,Command Transfer Type" bitfld.long 0x00 24.--29. "CMDINX,Command index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 22.--23. "CMDTYP,Command type" "0: Normal other commands,1: Suspend CMD52 for writing bus suspend in CCCR,2: Resume CMD52 for writing function select in..,3: Abort CMD12 CMD52 for writing I/O Abort in CCCR" newline bitfld.long 0x00 21. "DPSEL,Data present select" "0: No data present,1: Data present" newline bitfld.long 0x00 20. "CICEN,Command index check enable" "0: Disable command index check,1: Enables command index check" newline bitfld.long 0x00 19. "CCCEN,Command CRC check enable" "0: Disables command CRC check,1: Enables command CRC check" newline bitfld.long 0x00 16.--17. "RSPTYP,Response type select" "0: No response,1: Response length 136,2: Response length 48,3: Response length 48 check busy after response" newline bitfld.long 0x00 7. "AC23EN,AC23EN" "0: CMD_XFR_TYP7_b,1: CMD_XFR_TYP7_a" newline bitfld.long 0x00 6. "NIBBLE_POS,NIBBLE_POS" "0: CMD_XFR_TYP6_b,1: CMD_XFR_TYP6_a" newline bitfld.long 0x00 5. "MSBSEL,MSBSEL" "0: CMD_XFR_TYP5_b,1: CMD_XFR_TYP5_a" newline bitfld.long 0x00 4. "DTDSEL,DTDSEL" "0: CMD_XFR_TYP4_b,1: CMD_XFR_TYP4_a" newline bitfld.long 0x00 3. "DDR_EN,DDR_EN" "0: CMD_XFR_TYP3_b,1: CMD_XFR_TYP3_a" newline bitfld.long 0x00 2. "AC12EN,AC12EN" "0: CMD_XFR_TYP2_b,1: CMD_XFR_TYP2_a" newline bitfld.long 0x00 1. "BCEN,BCEN" "0: CMD_XFR_TYP1_b,1: CMD_XFR_TYP1_a" newline bitfld.long 0x00 0. "DMAEN,DMAEN" "0: CMD_XFR_TYP_0b,1: CMD_XFR_TYP_0a" rgroup.long 0x10++0x03 line.long 0x00 "CMD_RSP0,Command Response0" hexmask.long 0x00 0.--31. 1. "CMDRSP0,Command response 0" rgroup.long 0x14++0x03 line.long 0x00 "CMD_RSP1,Command Response1" hexmask.long 0x00 0.--31. 1. "CMDRSP1,Command response 1" rgroup.long 0x18++0x03 line.long 0x00 "CMD_RSP2,Command Response2" hexmask.long 0x00 0.--31. 1. "CMDRSP2,Command response 2" rgroup.long 0x1C++0x03 line.long 0x00 "CMD_RSP3,Command Response3" hexmask.long 0x00 0.--31. 1. "CMDRSP3,Command response 3" group.long 0x20++0x03 line.long 0x00 "DATA_BUFF_ACC_PORT,Data Buffer Access Port" hexmask.long 0x00 0.--31. 1. "DATCONT,Data content" rgroup.long 0x24++0x03 line.long 0x00 "PRES_STATE,Present State" hexmask.long.byte 0x00 24.--31. 1. "DLSL,DATA[7:0] line signal level" newline bitfld.long 0x00 23. "CLSL,CMD line signal level" "0,1" newline bitfld.long 0x00 19. "WPSPL,Write protect switch pin level" "0: Write protected (WP = 1),1: Write enabled (WP = 0)" newline bitfld.long 0x00 18. "CDPL,Card detect pin level" "0: No card present (CD_B = 1),1: Card present (CD_B = 0)" newline bitfld.long 0x00 16. "CINST,Card inserted" "0: Power on reset or no card,1: Card inserted" newline bitfld.long 0x00 15. "TSCD,Tap select change done" "0: Delay cell select change is not finished,1: Delay cell select change is finished" newline bitfld.long 0x00 12. "RTR,Re-Tuning Request (only for SD3.0 SDR104 mode and eMMC HS200 mode)" "0: Fixed or well tuned sampling clock,1: Sampling clock needs re-tuning" newline bitfld.long 0x00 11. "BREN,Buffer read enable" "0: Read disable,1: Read enable" newline bitfld.long 0x00 10. "BWEN,Buffer write enable" "0: Write disable,1: Write enable" newline bitfld.long 0x00 9. "RTA,Read transfer active" "0: No valid data,1: Transferring data" newline bitfld.long 0x00 8. "WTA,Write transfer active" "0: No valid data,1: Transferring data" newline bitfld.long 0x00 7. "SDOFF,SD clock gated off internally" "0: SD clock is active,1: SD clock is gated off" newline bitfld.long 0x00 6. "PEROFF,IPG_PERCLK gated off internally" "0: IPG_PERCLK is active,1: IPG_PERCLK is gated off" newline bitfld.long 0x00 5. "HCKOFF,HCLK gated off internally" "0: HCLK is active,1: HCLK is gated off" newline bitfld.long 0x00 4. "IPGOFF,Peripheral clock gated off internally" "0: Peripheral clock is active,1: Peripheral clock is gated off" newline bitfld.long 0x00 3. "SDSTB,SD clock stable" "0: Clock is changing frequency and not stable,1: Clock is stable" newline bitfld.long 0x00 2. "DLA,Data line active" "0: DATA line inactive,1: DATA line active" newline bitfld.long 0x00 1. "CDIHB,Command Inhibit Data (DATA)" "0: Can issue command that uses the DATA line,1: Cannot issue command that uses the DATA line" newline bitfld.long 0x00 0. "CIHB,Command inhibit (CMD)" "0: Can issue command using only CMD line,1: Cannot issue command" group.long 0x28++0x03 line.long 0x00 "PROT_CTRL,Protocol Control" bitfld.long 0x00 31. "RD_NO8CLK_EN,Enable the software RD_DONE_NO_8CLK" "0: Disable the software RD_DONE_NO_8CLK uSDHC..,1: the software RD_DONE_NO_8CLK is enabled" newline bitfld.long 0x00 30. "NON_EXACT_BLK_RD,Non-exact block" "0: The block read is exact block,1: The block read is non-exact block" newline bitfld.long 0x00 26. "WECRM,Wakeup event enable on SD card removal" "0: Disables wakeup event enable on SD card removal,1: Enables wakeup event enable on SD card removal" newline bitfld.long 0x00 25. "WECINS,Wakeup event enable on SD card insertion" "0: Disable wakeup event enable on SD card..,1: Enable wakeup event enable on SD card insertion" newline bitfld.long 0x00 24. "WECINT,Wakeup event enable on card interrupt" "0: Disables wakeup event enable on card interrupt,1: Enables wakeup event enable on card interrupt" newline bitfld.long 0x00 21.--23. "RD_WAIT_POINT,Read wait point" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. "RD_DONE_NO_8CLK,Read performed number 8 clock" "0,1" newline bitfld.long 0x00 19. "IABG,Interrupt at block gap" "0: Disables interrupt at block gap,1: Enables interrupt at block gap" newline bitfld.long 0x00 18. "RWCTL,Read wait control" "0: Disables read wait control and stop SD clock..,1: Enables read wait control and assert read.." newline bitfld.long 0x00 17. "CREQ,Continue request" "0: No effect,1: Restart" newline bitfld.long 0x00 16. "SABGREQ,Stop at block gap request" "0: SABGREQ_b,1: SABGREQ_A" newline bitfld.long 0x00 8.--9. "DMASEL,DMA select" "0: No DMA or simple DMA is selected,1: ADMA1 is selected,2: ADMA2 is selected,?..." newline bitfld.long 0x00 7. "CDSS,Card detect signal selection" "0: Card detection level is selected (for normal..,1: Card detection test level is selected (for.." newline bitfld.long 0x00 6. "CDTL,Card detect test level" "0: Card detect test level is 0 no card inserted,1: Card detect test level is 1 card inserted" newline bitfld.long 0x00 4.--5. "EMODE,Endian mode" "0: Big endian mode,1: Half word big endian mode,2: Little endian mode,?..." newline bitfld.long 0x00 3. "D3CD,DATA3 as card detection pin" "0: DATA3 does not monitor card insertion,1: DATA3 as card detection pin" newline bitfld.long 0x00 1.--2. "DTW,Data transfer width" "0: 1-bit mode,1: 4-bit mode,2: 8-bit mode,?..." group.long 0x2C++0x03 line.long 0x00 "SYS_CTRL,System Control" bitfld.long 0x00 28. "RSTT,Reset tuning" "0,1" newline bitfld.long 0x00 27. "INITA,Initialization active" "0,1" newline bitfld.long 0x00 26. "RSTD,Software reset for data line" "0: No reset,1: RSTD_A" newline bitfld.long 0x00 25. "RSTC,Software reset for CMD line" "0: No reset,1: RSTC_A" newline bitfld.long 0x00 24. "RSTA,Software reset for all" "0: No reset,1: RSTA_A" newline bitfld.long 0x00 23. "IPP_RST_N,Hardware reset" "0,1" newline bitfld.long 0x00 22. "RST_FIFO,Reset the async FIFO" "0,1" newline bitfld.long 0x00 21. "RST_STROBE_FIFO,Reset the async STROBE FIFO" "0,1" newline bitfld.long 0x00 16.--19. "DTOCV,Data timeout counter value" "0: SDCLK x 2 32,1: SDCLK x 2 33,2: SDCLK x 2 18,3: SDCLK x 2 19,?,?,?,?,?,?,?,?,?,13: SDCLK x 2 29 recommend to use for other..,14: SDCLK x 2 30 recommend to use for..,15: SDCLK x 2 31 recommend to use for HS400 mode" newline hexmask.long.byte 0x00 8.--15. 1. "SDCLKFS,SDCLK frequency select" newline bitfld.long 0x00 4.--7. "DVS,Divisor" "0: Divide-by-1,1: Divide-by-2,?,?,?,?,?,?,?,?,?,?,?,?,14: Divide-by-15,15: Divide-by-16" newline bitfld.long 0x00 3. "SDCLKEN,SD clock enable" "0,1" newline bitfld.long 0x00 2. "PEREN,Peripheral clock enable" "0,1" newline bitfld.long 0x00 1. "HCKEN,HCLK enable" "0,1" newline bitfld.long 0x00 0. "IPGEN,IPG clock enable" "0,1" group.long 0x30++0x03 line.long 0x00 "INT_STATUS,Interrupt Status" eventfld.long 0x00 30. "RND_READY_3,Round Ready Interrupt of rosc3" "0,1" newline eventfld.long 0x00 29. "RND_READY_2,Round Ready Interrupt of rosc2" "0,1" newline eventfld.long 0x00 28. "DMAE,DMA error" "0: No error,1: DMAE_b" newline eventfld.long 0x00 26. "TNE,Tuning error: (only for SD3.0 SDR104 mode and eMMC HS200 mode)" "0,1" newline eventfld.long 0x00 24. "AC12E,Auto CMD12 error" "0: No error,1: AC12E_b" newline eventfld.long 0x00 22. "DEBE,Data end bit error" "0: No error,1: DEBE_b" newline eventfld.long 0x00 21. "DCE,Data CRC error" "0: No error,1: DCE_b" newline eventfld.long 0x00 20. "DTOE,Data timeout error" "0: No error,1: Time out" newline eventfld.long 0x00 19. "CIE,Command index error" "0: No error,1: CIE_b" newline eventfld.long 0x00 18. "CEBE,Command end bit error" "0: No error,1: End bit error generated" newline eventfld.long 0x00 17. "CCE,Command CRC error" "0: No error,1: CRC error generated" newline eventfld.long 0x00 16. "CTOE,Command timeout error" "0: No error,1: Time out" newline rbitfld.long 0x00 15. "ERR_INT_STATUS,Error Interrupt Status" "0,1" newline eventfld.long 0x00 14. "CQI,Command queuing interrupt" "0,1" newline eventfld.long 0x00 13. "TP,Tuning pass:(only for SD3.0 SDR104 mode and eMMC HS200 mode)" "0,1" newline eventfld.long 0x00 12. "RTE,Re-tuning event: (only for SD3.0 SDR104 mode and eMMC HS200 mode)" "0: Re-tuning is not required,1: Re-tuning should be performed" newline eventfld.long 0x00 10. "RND_READY_1,Round Ready Interrupt of rosc1" "0,1" newline eventfld.long 0x00 9. "RND_READY_0,Round Ready Interrupt of rosc0" "0,1" newline eventfld.long 0x00 8. "CINT,Card interrupt" "0: No card interrupt,1: Generate card interrupt" newline eventfld.long 0x00 7. "CRM,Card removal" "0: Card state unstable or inserted,1: Card removed" newline eventfld.long 0x00 6. "CINS,Card insertion" "0: Card state unstable or removed,1: Card inserted" newline eventfld.long 0x00 5. "BRR,Buffer read ready" "0: Not ready to read buffer,1: Ready to read buffer" newline eventfld.long 0x00 4. "BWR,Buffer write ready" "0: Not ready to write buffer,1: Ready to write buffer" newline eventfld.long 0x00 3. "DINT,DMA interrupt" "0: No DMA interrupt,1: DMA interrupt is generated" newline eventfld.long 0x00 2. "BGE,Block gap event" "0: No block gap event,1: Transaction stopped at block gap" newline eventfld.long 0x00 1. "TC,Transfer complete" "0: Transfer does not complete,1: Transfer complete" newline eventfld.long 0x00 0. "CC,Command complete" "0: Command not complete,1: Command complete" group.long 0x34++0x03 line.long 0x00 "INT_STATUS_EN,Interrupt Status Enable" bitfld.long 0x00 30. "RND_READY_3_STATUS_EN,Round Ready 3 Interrupt Status" "0: Masked,1: Enabled" newline bitfld.long 0x00 29. "RND_READY_2_STATUS_EN,Round Ready 2 Interrupt Status" "0: Masked,1: Enabled" newline bitfld.long 0x00 28. "DMAESEN,DMA error status enable" "0: DMASEN_b,1: DMASEN_a" newline bitfld.long 0x00 26. "TNESEN,Tuning error status enable" "0: TNESEN_A,1: TNESEN_b" newline bitfld.long 0x00 24. "AC12ESEN,Auto CMD12 error status enable" "0: AC12ESEN_A,1: AC12ESEN_b" newline bitfld.long 0x00 22. "DEBESEN,Data end bit error status enable" "0: DBESEN_A,1: DBESEN_b" newline bitfld.long 0x00 21. "DCESEN,Data CRC error status enable" "0: DCESEN_A,1: DCESEN_b" newline bitfld.long 0x00 20. "DTOESEN,Data timeout error status enable" "0: DTOESEN_A,1: DTOESEN_b" newline bitfld.long 0x00 19. "CIESEN,Command index error status enable" "0: CIESEN_A,1: CIESEN_b" newline bitfld.long 0x00 18. "CEBESEN,Command end bit error status enable" "0: CEBESEN_A,1: CEBESEN_b" newline bitfld.long 0x00 17. "CCESEN,Command CRC error status enable" "0: CCESEN_A,1: CCESEN_b" newline bitfld.long 0x00 16. "CTOESEN,Command timeout error status enable" "0: CTOSEN_A,1: CTOSEN_b" newline bitfld.long 0x00 14. "CQISEN,Command queuing status enable" "0: CQISEN_A,1: CQISEN_b" newline bitfld.long 0x00 13. "TPSEN,Tuning pass status enable" "0: TPSEN_D,1: TPSEN_C" newline bitfld.long 0x00 12. "RTESEN,Re-tuning event status enable" "0: RTESEN_A,1: RTESEN_b" newline bitfld.long 0x00 10. "RND_READY_1_STATUS_EN,Round Ready 1 Interrupt Status" "0: Masked,1: Enabled" newline bitfld.long 0x00 9. "RND_READY_0_STATUS_EN,Round Ready 0 Interrupt Status" "0: Masked,1: Enabled" newline bitfld.long 0x00 8. "CINTSEN,Card interrupt status enable" "0: CINTSEN_A,1: CINTSEN_b" newline bitfld.long 0x00 7. "CRMSEN,Card removal status enable" "0: CRMSEN_A,1: CRMSEN_b" newline bitfld.long 0x00 6. "CINSSEN,Card insertion status enable" "0: CINSEN_A,1: CINSEN_b" newline bitfld.long 0x00 5. "BRRSEN,Buffer read ready status enable" "0: BRRSEN_A,1: BRREN_b" newline bitfld.long 0x00 4. "BWRSEN,Buffer write ready status enable" "0: BWRSEN_A,1: BWRSEN_b" newline bitfld.long 0x00 3. "DINTSEN,DMA interrupt status enable" "0: DINTSEN_A,1: DINTSEN_b" newline bitfld.long 0x00 2. "BGESEN,Block gap event status enable" "0: BGESEN_A,1: BGESEN_b" newline bitfld.long 0x00 1. "TCSEN,Transfer complete status enable" "0: TCSEN_b,1: TCSEN_A" newline bitfld.long 0x00 0. "CCSEN,Command complete status enable" "0: CCSEN_a,1: CCSEN_b" group.long 0x38++0x03 line.long 0x00 "INT_SIGNAL_EN,Interrupt Signal Enable" bitfld.long 0x00 30. "RND_READY_3_SIG_EN,Round Ready 3 Interrupt Enable" "0: RND_SIG_C,1: RND_SIG_D" newline bitfld.long 0x00 29. "RND_READY_2_SIG_EN,Round Ready 2 Interrupt Enable" "0: RND_SIG_a,1: RND_SIG_B" newline bitfld.long 0x00 28. "DMAEIEN,DMA error interrupt enable" "0: DMAEIEN_B,1: DMAEIEN_a" newline bitfld.long 0x00 26. "TNEIEN,Tuning error interrupt enable" "0: TNEIEN_B,1: TNEIEN_a" newline bitfld.long 0x00 24. "AC12EIEN,Auto CMD12 error interrupt enable" "0: AC12EIEN_b,1: AC12EIEN_a" newline bitfld.long 0x00 22. "DEBEIEN,Data end bit error interrupt enable" "0: DEBEIEN_B,1: DEBEIEN_a" newline bitfld.long 0x00 21. "DCEIEN,Data CRC error interrupt enable" "0: DCEIEN_B,1: DCEIEN_a" newline bitfld.long 0x00 20. "DTOEIEN,Data timeout error interrupt enable" "0: DTOEIEN_B,1: DTOEIEN_a" newline bitfld.long 0x00 19. "CIEIEN,Command index error interrupt enable" "0: CIEIEN_B,1: CIEIEN_a" newline bitfld.long 0x00 18. "CEBEIEN,Command end bit error interrupt enable" "0: CEBEIEN_b,1: CEBEIEN_a" newline bitfld.long 0x00 17. "CCEIEN,Command CRC error interrupt enable" "0: CCEIEN_B,1: CCEIEN_a" newline bitfld.long 0x00 16. "CTOEIEN,Command timeout error interrupt enable" "0: CTOEIEN_b,1: CTOEIEN_a" newline bitfld.long 0x00 14. "CQIIEN,Command queuing signal enable" "0: CQIIEN_T,1: CQIIEN_S" newline bitfld.long 0x00 13. "TPIEN,Tuning pass interrupt enable" "0: TPIEN_T,1: TPIEN_S" newline bitfld.long 0x00 12. "RTEIEN,Re-tuning event interrupt enable" "0: RTEIEN_O,1: RTEIEN_N" newline bitfld.long 0x00 10. "RND_READY_1_SIG_EN,Round Ready 1 Interrupt Enable" "0: Masked,1: Enabled" newline bitfld.long 0x00 9. "RND_READY_0_SIG_EN,Round Ready 0 Interrupt Enable" "0: Masked,1: Enabled" newline bitfld.long 0x00 8. "CINTIEN,Card interrupt enable" "0: CINTIEN_b,1: CINTIEN_a" newline bitfld.long 0x00 7. "CRMIEN,Card removal interrupt enable" "0: CRMIEN_a,1: CRMIEN_b" newline bitfld.long 0x00 6. "CINSIEN,Card insertion interrupt enable" "0: CINSIEN_a,1: CINSIEN_b" newline bitfld.long 0x00 5. "BRRIEN,Buffer read ready interrupt enable" "0: BRRIEN_b,1: BRRIEN_a" newline bitfld.long 0x00 4. "BWRIEN,Buffer write ready interrupt enable" "0: BWRIEN_a,1: BWRIEN_b" newline bitfld.long 0x00 3. "DINTIEN,DMA interrupt enable" "0: DINTIEN_b,1: DINTIEN_a" newline bitfld.long 0x00 2. "BGEIEN,Block gap event interrupt enable" "0: BGIEN_a,1: BGIEN_b" newline bitfld.long 0x00 1. "TCIEN,Transfer complete interrupt enable" "0: TCIEN_a,1: TCIEN_b" newline bitfld.long 0x00 0. "CCIEN,Command complete interrupt enable" "0: CCIEN_a,1: CCIEN_b" group.long 0x3C++0x03 line.long 0x00 "AUTOCMD12_ERR_STATUS,Auto CMD12 Error Status" bitfld.long 0x00 23. "SMP_CLK_SEL,Sample clock select" "0: Fixed clock is used to sample data,1: Tuned clock is used to sample data" newline bitfld.long 0x00 22. "EXECUTE_TUNING,Execute tuning" "0: Tuning procedure is aborted,1: Start tuning procedure" newline rbitfld.long 0x00 7. "CNIBAC12E,Command not issued by Auto CMD12 error" "0: CNIBAC12E_B,1: CNIBAC12E_a" newline rbitfld.long 0x00 4. "AC12IE,Auto CMD12 / 23 index error" "0: AC12IE_B,1: Error the CMD index in response is not CMD12/23" newline rbitfld.long 0x00 3. "AC12EBE,Auto CMD12 / 23 end bit error" "0: AC12EBE_B,1: End bit error generated" newline rbitfld.long 0x00 2. "AC12CE,Auto CMD12 / 23 CRC error" "0: No CRC error,1: CRC error met in Auto CMD12/23 response" newline rbitfld.long 0x00 1. "AC12TOE,Auto CMD12 / 23 timeout error" "0: AC12TOE_B,1: AC12TOE_a" newline rbitfld.long 0x00 0. "AC12NE,Auto CMD12 not executed" "0: AC12NE_B,1: Not executed" group.long 0x40++0x03 line.long 0x00 "HOST_CTRL_CAP,Host Controller Capabilities" rbitfld.long 0x00 26. "VS18,Voltage support 1.8 V" "0: 1.8 V not supported,1: 1.8 V supported" newline rbitfld.long 0x00 25. "VS30,Voltage support 3.0 V" "0: 3.0 V not supported,1: 3.0 V supported" newline rbitfld.long 0x00 24. "VS33,Voltage support 3.3 V" "0: 3.3 V not supported,1: 3.3 V supported" newline rbitfld.long 0x00 23. "SRS,Suspend / resume support" "0: Not supported,1: Supported" newline rbitfld.long 0x00 22. "DMAS,DMA support" "0: DMA not supported,1: DMA supported" newline rbitfld.long 0x00 21. "HSS,High speed support" "0: High speed not supported,1: High speed supported" newline rbitfld.long 0x00 20. "ADMAS,ADMA support" "0: Advanced DMA not supported,1: Advanced DMA supported" newline rbitfld.long 0x00 16.--18. "MBL,Max block length" "0: 512 bytes,1: 1024 bytes,2: 2048 bytes,3: 4096 bytes,?..." newline bitfld.long 0x00 13. "USE_TUNING_SDR50,Use Tuning for SDR50" "0: SDR50 does not support tuning,1: SDR50 supports tuning" newline rbitfld.long 0x00 2. "DDR50_SUPPORT,DDR50 support" "0,1" newline rbitfld.long 0x00 1. "SDR104_SUPPORT,SDR104 support" "0,1" newline rbitfld.long 0x00 0. "SDR50_SUPPORT,SDR50 support" "0,1" group.long 0x44++0x03 line.long 0x00 "WTMK_LVL,Watermark Level" hexmask.long.byte 0x00 16.--23. 1. "WR_WML,Write watermark level" newline hexmask.long.byte 0x00 0.--7. 1. "RD_WML,Read watermark level" group.long 0x48++0x03 line.long 0x00 "MIX_CTRL,Mixer Control" bitfld.long 0x00 31. "CMD_DMY_EN,CMD_DMY_EN" "0,1" newline bitfld.long 0x00 30. "CMD_DMY_WAIT_CFG,CMD_DMY_WAIT_CFG" "0,1" newline bitfld.long 0x00 29. "AC12_RD_POINT,AC12_RD_POINT" "0: Send Auto CMD12 right after CRC is checked..,1: Send Auto CMD12 8 cycles after CRC is checked.." newline bitfld.long 0x00 27. "EN_HS400_MODE,Enable enhance HS400 mode" "0,1" newline bitfld.long 0x00 26. "HS400_MODE,Enable HS400 mode" "0,1" newline bitfld.long 0x00 25. "FBCLK_SEL,Feedback clock source selection (Only used for SD3.0 SDR104 mode and eMMC HS200 mode)" "0: Feedback clock comes from the loopback CLK,1: Feedback clock comes from the ipp_card_clk_out" newline bitfld.long 0x00 24. "AUTO_TUNE_EN,Auto tuning enable (Only used for SD3.0 SDR104 mode and and eMMC HS200 mode)" "0: Disable auto tuning,1: Enable auto tuning" newline bitfld.long 0x00 23. "SMP_CLK_SEL,Clock selection" "0: Fixed clock is used to sample data / cmd,1: Tuned clock is used to sample data / cmd" newline bitfld.long 0x00 22. "EXE_TUNE,Execute tuning: (Only used for SD3.0 SDR104 mode and eMMC HS200 mode)" "0: Not tuned or tuning completed,1: Execute tuning" newline bitfld.long 0x00 7. "AC23EN,Auto CMD23 enable" "0,1" newline bitfld.long 0x00 6. "NIBBLE_POS,Nibble position indication" "0,1" newline bitfld.long 0x00 5. "MSBSEL,Multi / Single block select" "0: Single block,1: Multiple blocks" newline bitfld.long 0x00 4. "DTDSEL,Data transfer direction select" "0: Write (Host to card),1: Read (Card to host)" newline bitfld.long 0x00 3. "DDR_EN,Dual data rate mode selection" "0,1" newline bitfld.long 0x00 2. "AC12EN,Auto CMD12 enable" "0: AC12EN_B,1: AC12EN_a" newline bitfld.long 0x00 1. "BCEN,Block count enable" "0: Disable,1: BCEN_a" newline bitfld.long 0x00 0. "DMAEN,DMA enable" "0: DMAIN_B,1: DMAIN_a" group.long 0x50++0x03 line.long 0x00 "FORCE_EVENT,Force Event" bitfld.long 0x00 31. "FEVTCINT,Force event card interrupt" "0,1" newline bitfld.long 0x00 28. "FEVTDMAE,Force event DMA error" "0,1" newline bitfld.long 0x00 26. "FEVTTNE,Force tuning error" "0,1" newline bitfld.long 0x00 24. "FEVTAC12E,Force event Auto Command 12 error" "0,1" newline bitfld.long 0x00 22. "FEVTDEBE,Force event data end bit error" "0,1" newline bitfld.long 0x00 21. "FEVTDCE,Force event data CRC error" "0,1" newline bitfld.long 0x00 20. "FEVTDTOE,Force event data time out error" "0,1" newline bitfld.long 0x00 19. "FEVTCIE,Force event command index error" "0,1" newline bitfld.long 0x00 18. "FEVTCEBE,Force event command end bit error" "0,1" newline bitfld.long 0x00 17. "FEVTCCE,Force event command CRC error" "0,1" newline bitfld.long 0x00 16. "FEVTCTOE,Force event command time out error" "0,1" newline bitfld.long 0x00 7. "FEVTCNIBAC12E,Force event command not executed by Auto Command 12 error" "0,1" newline bitfld.long 0x00 4. "FEVTAC12IE,Force event Auto Command 12 index error" "0,1" newline bitfld.long 0x00 3. "FEVTAC12EBE,Force event Auto Command 12 end bit error" "0,1" newline bitfld.long 0x00 2. "FEVTAC12CE,Force event auto command 12 CRC error" "0,1" newline bitfld.long 0x00 1. "FEVTAC12TOE,Force event auto command 12 time out error" "0,1" newline bitfld.long 0x00 0. "FEVTAC12NE,Force event auto command 12 not executed" "0,1" rgroup.long 0x54++0x03 line.long 0x00 "ADMA_ERR_STATUS,ADMA Error Status" bitfld.long 0x00 3. "ADMADCE,ADMA descriptor error" "0: ADMADCE_B,1: ADMADCE_a" newline bitfld.long 0x00 2. "ADMALME,ADMA length mismatch error" "0: ADMAES_B,1: ADMAES_a" newline bitfld.long 0x00 0.--1. "ADMAES,ADMA error state (when ADMA error is occurred)" "0,1,2,3" group.long 0x58++0x03 line.long 0x00 "ADMA_SYS_ADDR,ADMA System Address" hexmask.long 0x00 2.--31. 1. "ADS_ADDR,ADMA system address" group.long 0x60++0x03 line.long 0x00 "DLL_CTRL,DLL (Delay Line) Control" bitfld.long 0x00 28.--31. "DLL_CTRL_REF_UPDATE_INT,DLL control loop update interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 20.--27. 1. "DLL_CTRL_SLV_UPDATE_INT,Slave delay line update interval" newline bitfld.long 0x00 16.--18. "DLL_CTRL_SLV_DLY_TARGET1,DLL slave delay target1" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 9.--15. 1. "DLL_CTRL_SLV_OVERRIDE_VAL,DLL slave override val" newline bitfld.long 0x00 8. "DLL_CTRL_SLV_OVERRIDE,DLL slave override" "0,1" newline bitfld.long 0x00 7. "DLL_CTRL_GATE_UPDATE,DLL gate update" "0,1" newline bitfld.long 0x00 3.--6. "DLL_CTRL_SLV_DLY_TARGET0,DLL slave delay target0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "DLL_CTRL_SLV_FORCE_UPD,DLL slave delay line" "0,1" newline bitfld.long 0x00 1. "DLL_CTRL_RESET,DLL reset" "0,1" newline bitfld.long 0x00 0. "DLL_CTRL_ENABLE,DLL and delay chain" "0,1" rgroup.long 0x64++0x03 line.long 0x00 "DLL_STATUS,DLL Status" hexmask.long.byte 0x00 9.--15. 1. "DLL_STS_REF_SEL,Reference delay line select taps" newline hexmask.long.byte 0x00 2.--8. 1. "DLL_STS_SLV_SEL,Slave delay line select status" newline bitfld.long 0x00 1. "DLL_STS_REF_LOCK,Reference DLL lock status" "0,1" newline bitfld.long 0x00 0. "DLL_STS_SLV_LOCK,Slave delay-line lock status" "0,1" group.long 0x68++0x03 line.long 0x00 "CLK_TUNE_CTRL_STATUS,CLK Tuning Control and Status" rbitfld.long 0x00 31. "PRE_ERR,PRE error" "0,1" newline hexmask.long.byte 0x00 24.--30. 1. "TAP_SEL_PRE,TAP_SEL_PRE" newline rbitfld.long 0x00 20.--23. "TAP_SEL_OUT,Delay cells added on the feedback clock between CLK_PRE and CLK_OUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--19. "TAP_SEL_POST,Delay cells added on the feedback clock between CLK_OUT and CLK_POST" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 15. "NXT_ERR,NXT error" "0,1" newline hexmask.long.byte 0x00 8.--14. 1. "DLY_CELL_SET_PRE,delay cells on the feedback clock between the feedback clock and CLK_PRE" newline bitfld.long 0x00 4.--7. "DLY_CELL_SET_OUT,Delay cells on the feedback clock between CLK_PRE and CLK_OUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "DLY_CELL_SET_POST,Delay cells on the feedback clock between CLK_OUT and CLK_POST" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70++0x03 line.long 0x00 "STROBE_DLL_CTRL,Strobe DLL control" bitfld.long 0x00 28.--31. "STROBE_DLL_CTRL_REF_UPDATE_INT,Strobe DLL control reference update interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 20.--27. 1. "STROBE_DLL_CTRL_SLV_UPDATE_INT,Strobe DLL control slave update interval" newline hexmask.long.byte 0x00 9.--15. 1. "STROBE_DLL_CTRL_SLV_OVERRIDE_VAL,Strobe DLL control slave Override value" newline bitfld.long 0x00 8. "STROBE_DLL_CTRL_SLV_OVERRIDE,Strobe DLL control slave override" "0,1" newline bitfld.long 0x00 7. "STROBE_DLL_CTRL_GATE_UPDATE,Strobe DLL control gate update" "0,1" newline bitfld.long 0x00 3.--6. "STROBE_DLL_CTRL_SLV_DLY_TARGET,Strobe DLL Control Slave Delay Target" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "STROBE_DLL_CTRL_SLV_FORCE_UPD,Strobe DLL control slave force updated" "0,1" newline bitfld.long 0x00 1. "STROBE_DLL_CTRL_RESET,Strobe DLL control reset" "0,1" newline bitfld.long 0x00 0. "STROBE_DLL_CTRL_ENABLE,Strobe DLL control enable" "0,1" rgroup.long 0x74++0x03 line.long 0x00 "STROBE_DLL_STATUS,Strobe DLL status" hexmask.long.byte 0x00 9.--15. 1. "STROBE_DLL_STS_REF_SEL,Strobe DLL status reference select" newline hexmask.long.byte 0x00 2.--8. 1. "STROBE_DLL_STS_SLV_SEL,Strobe DLL status slave select" newline bitfld.long 0x00 1. "STROBE_DLL_STS_REF_LOCK,Strobe DLL status reference lock" "0,1" newline bitfld.long 0x00 0. "STROBE_DLL_STS_SLV_LOCK,Strobe DLL status slave lock" "0,1" group.long 0xC0++0x03 line.long 0x00 "VEND_SPEC,Vendor Specific Register" bitfld.long 0x00 31. "CMD_BYTE_EN,Register byte access for CMD_XFR_TYP" "0: Disable,1: Enable" newline bitfld.long 0x00 30. "CARD_DET_IN_IDLE_ENJ,Card detection" "?,1: no description available" newline bitfld.long 0x00 29. "AC12_RD_CHKBUSY_EN,AC12_RD_CHKBUSY_EN" "0: Auto CMD12 to terminate multi-block read does..,1: Auto CMD12 to terminate multi-block read.." newline bitfld.long 0x00 28. "CMD_OE_PRE_EN,Assert point of CMD_OE signal" "0: CMD_OE and CMD_O assert at the same time,1: CMD_OE asserts one clock cycle before CMD_O" newline bitfld.long 0x00 24.--27. "DBG_SEL,Debug Select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 16.--23. 1. "INT_ST_VAL,Internal State Value" newline bitfld.long 0x00 15. "CRC_CHK_DIS,CRC Check Disable" "0: Check CRC16 for every read data packet and..,1: Ignore CRC16 check for every read data packet.." newline bitfld.long 0x00 14. "CARD_CLK_SOFT_EN,Card clock software enable" "0: Gate off the sd_clk,1: CARD_CLK_SOFT_EN_b" newline bitfld.long 0x00 13. "IPG_PERCLK_SOFT_EN,IPG_PERCLK software enable" "0: Gate off the IPG_PERCLK,1: Enable the IPG_PERCLK" newline bitfld.long 0x00 12. "HCLK_SOFT_EN,AXI clock software enable" "0: Gate off the AXI clock,1: Enable the AXI clock" newline bitfld.long 0x00 11. "IPG_CLK_SOFT_EN,Peripheral clock software enable" "0: Gate off the peripheral clock,1: Enable the peripheral clock" newline bitfld.long 0x00 10. "DDREN_ACT_ATONCE,DDR enable" "0: DDR_EN setting becomes active only when the..,1: DDR_EN setting becomes active at once no.." newline bitfld.long 0x00 9. "AC12_ISNOT_ABORT,Auto CMD12 abort" "0: Hardware treats the Auto CMD12 as abort command,1: Hardware does not treat the Auto CMD12 as.." newline bitfld.long 0x00 8. "FRC_SDCLK_ON,Force CLK" "0: CLK active or inactive is fully controlled by..,1: Force CLK active" newline bitfld.long 0x00 7. "CLKONJ_IN_ABORT,Force CLK output active" "0: The CLK output is active when sending abort..,1: The CLK output is inactive when sending abort.." newline bitfld.long 0x00 6. "WP_POL,WP polarity" "0: WP pin is high active,1: WP pin is low active" newline bitfld.long 0x00 5. "CD_POL,CD Polarity" "0: CD_B pin is low active,1: CD_B pin is high active" newline bitfld.long 0x00 4. "DAT3_CD_POL,DATA3 pin polarity" "0: Card detected when DATA3 is high,1: Card detected when DATA3 is low" newline bitfld.long 0x00 3. "AC12_WR_CHKBUSY_EN,Check busy enable" "0: Do not check busy after auto CMD12 for write..,1: Check busy after auto CMD12 for write data.." newline bitfld.long 0x00 2. "CONFLICT_CHK_EN,Conflict check enable" "0: Conflict check disable,1: Conflict check enable" newline bitfld.long 0x00 1. "VSELECT,Voltage selection" "0: Change the voltage to high voltage range..,1: Change the voltage to low voltage range.." group.long 0xC4++0x03 line.long 0x00 "MMC_BOOT,eMMC Boot" hexmask.long.word 0x00 16.--31. 1. "BOOT_BLK_CNT,Stop At Block Gap value of automatic mode" newline bitfld.long 0x00 8. "DISABLE_TIME_OUT,Time out" "0: disable_timeout_a,1: disable_timeout_b" newline bitfld.long 0x00 7. "AUTO_SABG_EN,Auto stop at block gap" "0,1" newline bitfld.long 0x00 6. "BOOT_EN,Boot enable" "0: Fast boot disable,1: Fast boot enable" newline bitfld.long 0x00 5. "BOOT_MODE,Boot mode" "0: BOOT_mode_a,1: Alternative boot" newline bitfld.long 0x00 4. "BOOT_ACK,BOOT ACK" "0: BOOT_ACK_a,1: BOOT_ACK_b" newline bitfld.long 0x00 0.--3. "DTOCV_ACK,DTOCV_ACK" "0: SDCLK x 2^32,1: SDCLK x 2^33,2: SDCLK x 2^18,3: SDCLK x 2^19,4: SDCLK x 2^20,5: SDCLK x 2^21,6: SDCLK x 2^22,7: SDCLK x 2^23,?,?,?,?,?,?,14: SDCLK x 2^30,15: SDCLK x 2^31" group.long 0xC8++0x03 line.long 0x00 "VEND_SPEC2,Vendor Specific 2 Register" hexmask.long.word 0x00 16.--31. 1. "FBCLK_TAP_SEL,Enable extra delay on internal feedback clock" newline bitfld.long 0x00 15. "EN_32K_CLK,Enable 32khz clock for card detection" "0,1" newline bitfld.long 0x00 14. "BUS_RST,BUS reset" "0,1" newline bitfld.long 0x00 13. "PART_DLL_DEBUG,Debug for part DLL" "0,1" newline bitfld.long 0x00 12. "ACMD23_ARGU2_EN,Argument2 register enable for ACMD23" "0: ACMD23_ARGU2_EN_B,1: Argument2 register enable for ACMD23 sharing.." newline bitfld.long 0x00 11. "HS400_RD_CLK_STOP_EN,HS400 read clock stop enable" "0,1" newline bitfld.long 0x00 10. "HS400_WR_CLK_STOP_EN,HS400 write clock stop enable" "0,1" newline bitfld.long 0x00 9. "TEST_SYNC_EN,Test sync enable" "0,1" newline bitfld.long 0x00 8. "CMD_BUSY_TRAN_CPL_EN,CMD_BUSY_TRAN_CPL_EN" "0: CARD_INT_AUTO_CLR_DIS_C,1: CARD_INT_AUTO_CLR_DIS_D" newline bitfld.long 0x00 7. "CARD_INT_AUTO_CLR_DIS,CARD_INT_AUTO_CLR_DIS" "0: Card interrupt status field (CINT) can be..,1: Card interrupt status field (CINT) can only.." newline bitfld.long 0x00 6. "TUNING_CMD_EN,Tuning command enable" "0: Auto tuning circuit does not check the CMD line,1: Auto tuning circuit checks the CMD line" newline bitfld.long 0x00 5. "TUNING_1bit_EN,Tuning 1bit enable" "0,1" newline bitfld.long 0x00 4. "TUNING_8bit_EN,Tuning 8bit enable" "0,1" newline bitfld.long 0x00 3. "CARD_INT_D3_TEST,Card interrupt detection test" "0: Check the card interrupt only when DATA3 is..,1: Check the card interrupt by ignoring the.." newline bitfld.long 0x00 2. "SDR104_NSD_DIS,SDR104_NSD_DIS" "0: Enable the interrupt window 9 cycles later..,1: Enable the interrupt window 5 cycles later.." newline bitfld.long 0x00 1. "SDR104_OE_DIS,CMD_OE / DATA_OE logic generation test" "0: Drive the CMD_OE / DATA_OE for one more clock..,1: Stop to drive the CMD_OE / DATA_OE at once.." newline bitfld.long 0x00 0. "SDR104_TIMING_DIS,Timeout counter test" "0: The timeout counter for Ncr changes to 80..,1: The timeout counter for Ncr changes to 72.." group.long 0xCC++0x03 line.long 0x00 "TUNING_CTRL,Tuning Control" bitfld.long 0x00 24. "STD_TUNING_EN,Standard tuning circuit and procedure enable" "0,1" newline bitfld.long 0x00 20.--22. "TUNING_WINDOW,Data window" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--18. "TUNING_STEP,TUNING_STEP" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x00 8.--15. 1. "TUNING_COUNTER,Tuning counter" newline bitfld.long 0x00 7. "DIS_CMD_CHK_FOR_STD_TUNING,Disable command check for standard tuning" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. "TUNING_START_TAP,Tuning start" group.long 0xD0++0x03 line.long 0x00 "VENDOR_SPEC3,Vendor Specific 3 Register" bitfld.long 0x00 0. "PAD_CTRL_EN,Test only bit" "0,1" group.long 0xD4++0x03 line.long 0x00 "PARTS_DLL_TEST_CTRL_0,Parts DLL Test Control 0" bitfld.long 0x00 26. "DLL_TEST_MODE_0,DLL Test Mode 0" "0,1" newline bitfld.long 0x00 24.--25. "DLL_TEST_PAD_OUTPUT_SEL,Clock Selection for Propagating Pad ipp_dll_test_out" "0: Ring oscillator_0's divided clock out will be..,1: Ring oscillator_1's divided clock out will be..,2: Ring oscillator_2's divided clock out will be..,3: Ring oscillator_3's divided clock out will be.." newline bitfld.long 0x00 21.--23. "DLL_TEST_NODE_BYPASS,DLL Test Node Bypass" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 12.--20. 1. "DLL_TEST_TIMER_0,Ring Oscillator 0's T Timer Max Values" newline hexmask.long.byte 0x00 4.--11. 1. "OSC_WAIT_TIMER_0,Oscillator Wait Timer 0" newline bitfld.long 0x00 1.--2. "DLL_DIV_RATIO_0,Ring Oscillator 0 Divider Ratio" "0: DLL_DIV_a,1: DLL_DIV_B,2: DLL_DIV_C,3: DLL_DIV_D" newline bitfld.long 0x00 0. "DLL_TE_0,dll_test_en_0 (control reg)" "0,1" group.long 0xD8++0x03 line.long 0x00 "PARTS_DLL_TEST_CTRL_3,Parts DLL Test Control 3" bitfld.long 0x00 31. "DLL_TEST_MODE_3,DLL Test Mode 3" "0,1" newline bitfld.long 0x00 29.--30. "DLL_TEST_REF_DLL_OSC_EN_DLY_SEL_3,Select the delay added between dll_test_en and ring oscillator enable for ring oscillator 3" "0: Timer counts 6'd64 clock cycle of..,1: Timer counts 6'd52 clock cycle of..,2: Timer counts 6'd26 clock cycle of..,3: Timer counts 6'd13 clock cycle of.." newline hexmask.long.byte 0x00 21.--28. 1. "DLL_TEST_REF_DLL_TAP_SEL,In ring oscillator1 and ring oscillator3 PARTS DLL and STROBE DLL is to be tested this field is to provide tap select configuration interface for the two reference delay chains inside the DLL for DLL test" newline hexmask.long.word 0x00 12.--20. 1. "DLL_TEST_TIMER_3,Ring Oscillator 3's T Timer Max Values" newline hexmask.long.byte 0x00 4.--11. 1. "OSC_WAIT_TIMER_3,Oscillator Wait Timer 3" newline bitfld.long 0x00 1.--2. "DLL_DIV_RATIO_3,Ring Oscillator 3 Divider Ratio" "0: DLL_RATIO_a,1: DLL_RATIO_B,2: DLL_RATIO_C,3: DLL_RATIO_D" newline bitfld.long 0x00 0. "DLL_TE_3,dll_test_en_3 (control reg)" "0,1" rgroup.long 0xDC++0x03 line.long 0x00 "PARTS_DLL_TEST_STATUS,Parts DLL Test Status" hexmask.long.word 0x00 10.--19. 1. "N_CAP_3,N Cap 3" newline hexmask.long.word 0x00 0.--9. 1. "N_CAP_0,N Cap 0" group.long 0xE0++0x03 line.long 0x00 "STROBE_DLL_TEST_CTRL_1,Strobe DLL Test Control 1" bitfld.long 0x00 23. "DLL_TEST_MODE_1,DLL Test Mode 1" "0,1" newline bitfld.long 0x00 21.--22. "DLL_TEST_REF_DLL_OSC_EN_DLY_SEL_1,Delay added between dll_test_en and ring oscillator enable for ring oscillator 1" "0: Timer counts 6'd64 clock cycle of..,1: Timer counts 6'd52 clock cycle of..,2: Timer counts 6'd26 clock cycle of..,3: Timer counts 6'd13 clock cycle of.." newline hexmask.long.word 0x00 12.--20. 1. "DLL_TEST_TIMER_1,Ring Oscillator 1's T Timer Max Values" newline hexmask.long.byte 0x00 4.--11. 1. "OSC_WAIT_TIMER_1,Oscillator Wait Timer 1" newline bitfld.long 0x00 1.--2. "DLL_DIV_RATIO_1,Ring Oscillator 1 Divider Ratio" "0: Bypass,1: Divide 2,2: Divide 4,3: Divide 8" newline bitfld.long 0x00 0. "DLL_TE_1,dll_test_en_1 (control reg)" "0,1" group.long 0xE4++0x03 line.long 0x00 "STROBE_DLL_TEST_CTRL_2,Strobe DLL Test Control 2" bitfld.long 0x00 21. "DLL_TEST_MODE_2,DLL Test Mode 2" "0,1" newline hexmask.long.word 0x00 12.--20. 1. "DLL_TEST_TIMER_2,Ring Oscillator 2's T Timer Max Values" newline hexmask.long.byte 0x00 4.--11. 1. "OSC_WAIT_TIMER_2,Oscillator Wait Timer 2" newline bitfld.long 0x00 1.--2. "DLL_DIV_RATIO_2,Ring Oscillator 2 Divider Ratio" "0: DLL_div_R_a,1: DLL_div_R_B,2: DLL_div_R_C,3: DLL_div_R_D" newline bitfld.long 0x00 0. "DLL_TE_2,dll_test_en_2 (control reg)" "0,1" rgroup.long 0xE8++0x03 line.long 0x00 "STROBE_DLL_TEST_STATUS,Strobe DLL Test Status" hexmask.long.word 0x00 10.--19. 1. "N_CAP_2,N Cap 2" newline hexmask.long.word 0x00 0.--9. 1. "N_CAP_1,N Cap 1" rgroup.long 0xFC++0x03 line.long 0x00 "HOST_CTRL_VER,Host controller version" hexmask.long.byte 0x00 8.--15. 1. "VVN,Vendor version number" newline hexmask.long.byte 0x00 0.--7. 1. "SVN,Specification version number" rgroup.long 0x100++0x03 line.long 0x00 "CQVER,Command Queuing Version" bitfld.long 0x00 8.--11. "MAJOR_VN,eMMC major version number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. "MINOR_VN,eMMC minor version number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "VERSION_SUFFIX,eMMC version suffix" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x104++0x03 line.long 0x00 "CQCAP,Command Queuing Capabilities" bitfld.long 0x00 12.--15. "ITCFMUL,Internal timer clock frequency multiplier" "?,1: ITCFMUL_a,2: ITCFMUL_B,3: ITCFMUL_C,4: ITCFMUL_D,5: ITCFMUL_E,?..." newline hexmask.long.word 0x00 0.--9. 1. "ITCFVAL,Internal timer clock frequency value" group.long 0x108++0x03 line.long 0x00 "CQCFG,Command Queuing Configuration" bitfld.long 0x00 12. "DCMDE,Direct command (DCMD) enable" "0: Task descriptor in slot #31 is a Data..,1: Task descriptor in slot #31 is a DCMD Task.." newline bitfld.long 0x00 8. "TDS,Task descriptor size" "0: Task descriptor size is 64 bits,1: Task descriptor size is 128 bits" newline bitfld.long 0x00 0. "CQUE,Command queuing enable" "0,1" group.long 0x10C++0x03 line.long 0x00 "CQCTL,Command Queuing Control" bitfld.long 0x00 8. "CLEAR,Clear all tasks" "0,1" newline bitfld.long 0x00 0. "HALT,Halt" "0,1" group.long 0x110++0x03 line.long 0x00 "CQIS,Command Queuing Interrupt Status" eventfld.long 0x00 3. "TCL,Task cleared" "0,1" newline eventfld.long 0x00 2. "RED,Response error detected interrupt" "0,1" newline eventfld.long 0x00 1. "TCC,Task complete interrupt" "0,1" newline eventfld.long 0x00 0. "HAC,Halt complete interrupt" "0,1" group.long 0x114++0x03 line.long 0x00 "CQISTE,Command Queuing Interrupt Status Enable" bitfld.long 0x00 3. "TCL_STE,Task cleared status enable" "0: CQIS[TCL] is disabled,1: CQIS[TCL] is set when its interrupt condition.." newline bitfld.long 0x00 2. "RED_STE,Response error detected status enable" "0: CQIS[RED]is disabled,1: CQIS[RED] is set when its interrupt condition.." newline bitfld.long 0x00 1. "TCC_STE,Task complete status enable" "0: CQIS[TCC] is disabled,1: CQIS[TCC] is set when its interrupt condition.." newline bitfld.long 0x00 0. "HAC_STE,Halt complete status enable" "0: CQIS[HAC] is disabled,1: CQIS[HAC] is set when its interrupt condition.." group.long 0x118++0x03 line.long 0x00 "CQISGE,Command Queuing Interrupt Signal Enable" bitfld.long 0x00 3. "TCL_SGE,Task cleared signal enable" "0,1" newline bitfld.long 0x00 2. "RED_SGE,Response error detected signal enable" "0,1" newline bitfld.long 0x00 1. "TCC_SGE,Task complete signal enable" "0,1" newline bitfld.long 0x00 0. "HAC_SGE,Halt complete signal enable" "0,1" group.long 0x11C++0x03 line.long 0x00 "CQIC,Command Queuing Interrupt Coalescing" bitfld.long 0x00 31. "ICENDIS,Interrupt coalescing enable/disable" "0,1" newline rbitfld.long 0x00 20. "ICSB,Interrupt coalescing status" "0: No task completions have occurred since last..,1: At least one task completion has been counted.." newline bitfld.long 0x00 16. "ICCTR,Counter and timer reset" "0,1" newline bitfld.long 0x00 15. "ICCTHWEN,Interrupt coalescing counter threshold write enable" "0,1" newline bitfld.long 0x00 8.--12. "ICCTH,Interrupt coalescing counter threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 7. "ICTOVALWEN,Interrupt coalescing timeout value write enable" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. "ICTOVAL,Interrupt coalescing timeout value" group.long 0x120++0x03 line.long 0x00 "CQTDLBA,Command Queuing Task Descriptor List Base Address" hexmask.long 0x00 0.--31. 1. "TDLBA,Task descriptor list base address" group.long 0x124++0x03 line.long 0x00 "CQTDLBAU,Command Queuing Task Descriptor List Base Address Upper 32 Bits" hexmask.long 0x00 0.--31. 1. "TDLBAU,Task descriptor list base address" group.long 0x128++0x03 line.long 0x00 "CQTDBR,Command Queuing Task Doorbell" hexmask.long 0x00 0.--31. 1. "TDBR,Task doorbell" group.long 0x12C++0x03 line.long 0x00 "CQTCN,Command Queuing Task Completion Notification" hexmask.long 0x00 0.--31. 1. "TCN,Task complete notification" rgroup.long 0x130++0x03 line.long 0x00 "CQDQS,Command Queuing Device Queue Status" hexmask.long 0x00 0.--31. 1. "DQS,Device queue status" rgroup.long 0x134++0x03 line.long 0x00 "CQDPT,Command Queuing Device Pending Tasks" hexmask.long 0x00 0.--31. 1. "DPT,Device pending tasks" group.long 0x138++0x03 line.long 0x00 "CQTCLR,Command Queuing Task Clear" hexmask.long 0x00 0.--31. 1. "TCLR,Task clear" group.long 0x140++0x03 line.long 0x00 "CQSSC1,Command Queuing Send Status Configuration 1" bitfld.long 0x00 16.--19. "CBC,Send status command block counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. "CIT,Send status command idle timer" group.long 0x144++0x03 line.long 0x00 "CQSSC2,Command Queuing Send Status Configuration 2" hexmask.long.word 0x00 0.--15. 1. "SSC2,Send queue status RCA" rgroup.long 0x148++0x03 line.long 0x00 "CQCRDCT,Command Queuing Command Response for Direct-Command Task" hexmask.long 0x00 0.--31. 1. "CRDCT,Direct command last response" group.long 0x150++0x03 line.long 0x00 "CQRMEM,Command Queuing Response Mode Error Mask" hexmask.long 0x00 0.--31. 1. "RMEM,Response mode error mask" rgroup.long 0x154++0x03 line.long 0x00 "CQTERRI,Command Queuing Task Error Information" bitfld.long 0x00 31. "DTEFV,Data transfer error fields valid" "0,1" newline bitfld.long 0x00 24.--28. "DTETID,Data transfer error task ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--21. "DTECI,Data transfer error command index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 15. "RMEFV,Response mode error fields valid" "0,1" newline bitfld.long 0x00 8.--12. "RMETID,Response mode error task ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--5. "RMECI,Response mode error command index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x158++0x03 line.long 0x00 "CQCRI,Command Queuing Command Response Index" bitfld.long 0x00 0.--5. "LCMDRI,Last command response index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x15C++0x03 line.long 0x00 "CQCRA,Command Queuing Command Response Argument" hexmask.long 0x00 0.--31. 1. "LCMDRA,Last command response argument" tree.end repeat.end tree.end tree "XCACHE" tree "LPCAC_PC" base ad:0x44400000 group.long 0x00++0x03 line.long 0x00 "CCR,Cache control register" bitfld.long 0x00 31. "GO,Initiate Cache Command" "0: Write: no effect,1: Write: initiate command indicated by bits 27-24" bitfld.long 0x00 27. "PUSHW1,Push Way 1" "0: no_operation,1: When setting the GO bit push all modified.." newline bitfld.long 0x00 26. "INVW1,Invalidate Way 1" "0: no_operation,1: When setting the GO bit invalidate all lines.." bitfld.long 0x00 25. "PUSHW0,Push Way 0" "0: no_operation,1: When setting the GO bit push all modified.." newline bitfld.long 0x00 24. "INVW0,Invalidate Way 0" "0: no_operation,1: When setting the GO bit invalidate all lines.." bitfld.long 0x00 0. "ENCACHE,Cache enable" "0: Cache disabled,1: Cache enabled" group.long 0x04++0x03 line.long 0x00 "CLCR,Cache line control register" bitfld.long 0x00 27. "LACC,Line access type" "0: read,1: write" bitfld.long 0x00 26. "LADSEL,Line Address Select" "0: Cache address,1: Physical address" newline bitfld.long 0x00 24.--25. "LCMD,Line Command" "0: Search and read or write,1: invalidate,2: push,3: clear" bitfld.long 0x00 22. "LCWAY,Line Command Way" "0,1" newline bitfld.long 0x00 21. "LCIMB,Line Command Initial Modified Bit" "0,1" bitfld.long 0x00 20. "LCIVB,Line Command Initial Valid Bit" "0,1" newline bitfld.long 0x00 16. "TDSEL,Tag/Data Select" "0: data,1: tag" bitfld.long 0x00 14. "WSEL,Way select" "0: Way 0,1: Way 1" newline hexmask.long.word 0x00 2.--13. 1. "CACHEADDR,Cache address" bitfld.long 0x00 0. "LGO,Initiate Cache Line Command" "0: Write: no effect,1: Write: initiate line command indicated by.." group.long 0x08++0x03 line.long 0x00 "CSAR,Cache search address register" hexmask.long 0x00 2.--31. 1. "PHYADDR,Physical Address" bitfld.long 0x00 0. "LGO,Initiate Cache Line Command" "0: Write: no effect,1: Write: initiate line command indicated by.." group.long 0x0C++0x03 line.long 0x00 "CCVR,Cache read/write value register" hexmask.long 0x00 0.--31. 1. "DATA,Cache read/write Data" group.long 0x10++0x03 line.long 0x00 "CSRR,Cache soft reset register" bitfld.long 0x00 0.--1. "RESET,Issue soft reset" "0,1,2,3" tree.end tree "LPCAC_PS" base ad:0x44400800 group.long 0x00++0x03 line.long 0x00 "CCR,Cache control register" bitfld.long 0x00 31. "GO,Initiate Cache Command" "0: Write: no effect,1: Write: initiate command indicated by bits 27-24" bitfld.long 0x00 27. "PUSHW1,Push Way 1" "0: no_operation,1: When setting the GO bit push all modified.." newline bitfld.long 0x00 26. "INVW1,Invalidate Way 1" "0: no_operation,1: When setting the GO bit invalidate all lines.." bitfld.long 0x00 25. "PUSHW0,Push Way 0" "0: no_operation,1: When setting the GO bit push all modified.." newline bitfld.long 0x00 24. "INVW0,Invalidate Way 0" "0: no_operation,1: When setting the GO bit invalidate all lines.." bitfld.long 0x00 0. "ENCACHE,Cache enable" "0: Cache disabled,1: Cache enabled" group.long 0x04++0x03 line.long 0x00 "CLCR,Cache line control register" bitfld.long 0x00 27. "LACC,Line access type" "0: read,1: write" bitfld.long 0x00 26. "LADSEL,Line Address Select" "0: Cache address,1: Physical address" newline bitfld.long 0x00 24.--25. "LCMD,Line Command" "0: Search and read or write,1: invalidate,2: push,3: clear" bitfld.long 0x00 22. "LCWAY,Line Command Way" "0,1" newline bitfld.long 0x00 21. "LCIMB,Line Command Initial Modified Bit" "0,1" bitfld.long 0x00 20. "LCIVB,Line Command Initial Valid Bit" "0,1" newline bitfld.long 0x00 16. "TDSEL,Tag/Data Select" "0: data,1: tag" bitfld.long 0x00 14. "WSEL,Way select" "0: Way 0,1: Way 1" newline hexmask.long.word 0x00 2.--13. 1. "CACHEADDR,Cache address" bitfld.long 0x00 0. "LGO,Initiate Cache Line Command" "0: Write: no effect,1: Write: initiate line command indicated by.." group.long 0x08++0x03 line.long 0x00 "CSAR,Cache search address register" hexmask.long 0x00 2.--31. 1. "PHYADDR,Physical Address" bitfld.long 0x00 0. "LGO,Initiate Cache Line Command" "0: Write: no effect,1: Write: initiate line command indicated by.." group.long 0x0C++0x03 line.long 0x00 "CCVR,Cache read/write value register" hexmask.long 0x00 0.--31. 1. "DATA,Cache read/write Data" group.long 0x10++0x03 line.long 0x00 "CSRR,Cache soft reset register" bitfld.long 0x00 0.--1. "RESET,Issue soft reset" "0,1,2,3" tree.end tree.end autoindent.off newline